CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 汇编语言 搜索资源 - ABC

搜索资源列表

  1. abc

    0下载:
  2. 数据结构课程设计_校园导游咨询 1.需求分析 设计一个校园导游程序,为来访的客人提供各种信息查询服务。 (1)设计学校的校园平面图,所含景点不少于10个。以图中顶点表示校内各景点,存放景点名称、代号、简介等信息;以边表示路径,存放路径长度等相关信息。 (2)为来访客人提供图中任意景点相关信息的查询。 (3)提供途中任意景点问路查询,即求任意两个景点间的一条最短的简单路径。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1.99kb
    • 提供者:赵寒
  1. serhex

    0下载:
  2. 输入一句子以及一子字符串(字符数分别小于50) ,在句子中搜索是否含有该子字符串。如果句子中不包含子串,则显示’No match!’;否则显示’Match’,并把该子串在句子中第一个匹配的位置用十六进制数显示出来. (比如 ‘we are studing abc’. 中包含’abc’子串,出现在第10H位上;但不包括’xyz’子串.)
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:956byte
    • 提供者:婷婷
  1. abc

    0下载:
  2. 用89c51实现数字时钟,6位LED,4*3的key,程序已经调试好,可以直接使用
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1.69kb
    • 提供者:02051116
  1. abc

    0下载:
  2. 单片机向主机发送字符串,用C语言写的,对初学者很有用-Microcontroller sends a string to the host, written with C language, useful for beginners
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:24.99kb
    • 提供者:林红
  1. abc

    0下载:
  2. 二进制文件读写 没其他的话说了,要那么多字做什么-yunsi,nayouyingwenma
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:695byte
    • 提供者:唐小青
  1. shiyan4

    0下载:
  2. 汇编语言实验四 查找电话号码实验源代码-abc
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:2.06kb
    • 提供者:Rlgband
  1. Find_a_matching_string

    0下载:
  2. 程序接收用户键入的一个关键字以及一个句子,如果句子中不包含关键字则显示’No match!’;如果句子中包含关键字则显示’Match’,且把该字在句子中的位置用十六进制数显示出来。要求程序的执行过程如下: Enetr keyword:abc Enter Sentence :We are studying abc. Match at location:11 H of the sentence. Enter Sentence:xyz,OK? No match. Enter S
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:25.98kb
    • 提供者:lzl
  1. danpianji

    0下载:
  2. danpianji单片机程序和相关介绍,大家赶快下啊-abc
  3. 所属分类:assembly language

    • 发布日期:2017-03-23
    • 文件大小:978.31kb
    • 提供者:wv
  1. ABC

    0下载:
  2. with this program you will can Write the Abc
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:646byte
    • 提供者:natuu
  1. abc

    0下载:
  2. 一个简单的操作系统编写,利用汇编语言,其中的一小段代码-The preparation of a simple operating system, using assembly language, in which a small section of code
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:1.26kb
    • 提供者:马良
  1. adc

    0下载:
  2. ABC XS128 IO FHSFHIEFA-WWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWWW
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:2.27kb
    • 提供者:林明杨
  1. 12

    0下载:
  2. 无线声控跑马灯,,w79e9311,RC10M-ABC
  3. 所属分类:assembly language

    • 发布日期:2017-04-24
    • 文件大小:9.68kb
    • 提供者:fkuetu
  1. abc

    0下载:
  2. 用C语言实现AMI与HDB3编码器 AMI(Alternate Mark Inversion)码 (一)、编码规则: 消息代码中的0 传输码中的0 消息代码中的1 传输码中的+1、-1交替-Using C language AMI and HDB3 Encoder AMI (Alternate Mark Inversion) code (1), encoding rules: a message code 0 code 0 message transmission in a
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:693byte
    • 提供者:123
  1. music

    0下载:
  2. 用汇编做:键盘选择计算机演奏歌曲的程序 选择a b c 分别播放music1 musc2 music3-Assembly to do: playing the keyboard to select the computer program selection of songs were played music1 musc2 music3 abc
  3. 所属分类:assembly language

    • 发布日期:2017-03-27
    • 文件大小:3.27kb
    • 提供者:zhanghuan
  1. abc

    0下载:
  2. 汇编语言动画设计,小鸟和小车移动1-小鸟、2-小车和q-退出程序-Assembly language animation, birds, and the car moves 1- birds, 2- q-car and exit the program
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:821.95kb
    • 提供者:司亚兵
  1. ABC

    0下载:
  2. 基于asm编译器的简单汇编程序,功能:用8086的16位机完成两个32数的相乘,随意给两个32位数,输出相乘的结果-Asm compiler based on a simple assembler, features: 16-bit machine with a 8086 completion of two numbers multiplied by 32, 32 at random to two digits, the result of multiplying the output
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:995byte
    • 提供者:iverson
  1. abc

    0下载:
  2. 可以在dos下后台运行程序的方法,比较震撼.-Under the background in DOS can run the program method
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:861byte
    • 提供者:zhang
  1. abc

    0下载:
  2. 关于一个微机原理的作业,里面就是一个微机原理作业,除了作业还是作业-this is a homework
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:708byte
    • 提供者:luffy12345
  1. 1

    0下载:
  2. 加减计数器 library ieee use ieee. std_logic-_1164.all entity dec3_8 is port(a,b,c,s1,s2,s3: in std_logic y: out std_logic_vector(0 to 7)) end architecture b of dec3_8 is signal abc: std_logic_vector(0 t
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:554byte
    • 提供者:镜辰
  1. mazhizhuanhuan

    0下载:
  2. 编写一个程序,将变量ABC中的16位无符号数,用"除十取余"的方法转换成十进制数,要求结果用压缩BCD码保存在RESULT单元中。-mazhizhuanhuan code translation?
  3. 所属分类:assembly language

    • 发布日期:2017-04-24
    • 文件大小:9.58kb
    • 提供者:dangligedang
« 12 »
搜珍网 www.dssz.com