CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 其它 汇编语言 搜索资源 - code

搜索资源列表

  1. (硬盘主引导区)的汇编源代码

    0下载:
  2. 一个MBR (硬盘主引导区)的汇编源代码.,A MBR (master boot hard disk area) a compilation of source code.
  3. 所属分类:汇编语言

    • 发布日期:2017-11-08
    • 文件大小:4377
    • 提供者:姚聪
  1. 8051_led.rar

    0下载:
  2. 使用AT89C52驱动LED点阵,包涵源程序及PROTUES制作的原理图,内容完整,AT89C52-driven use of LED dot matrix, includes source code and schematics PROTUES production, content integrity
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:102565
    • 提供者:郑卫青
  1. rdvv.rar

    0下载:
  2. hex code for controlling a TSA5511 phase locked loop chip using a PIC16F84 Also drives a standard 16x1 LCD display. function 16F84(A) L.C.D. notes 0V 5 1, 5 +5 volt 4, 14 2, 3 Display enable 2 6 SCL 17 needs 10k pullup to +
  3. 所属分类:assembly language

    • 发布日期:2016-01-27
    • 文件大小:2148
    • 提供者:Nev
  1. Vxwork5.6_usb.zip

    0下载:
  2. USB source code of Vxworks 5.6. It has device and the host stack. ,source code of USB 5.6 Vxworks. It has devic e and the host stack.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:77352
    • 提供者:jose
  1. WAVE-CODE

    0下载:
  2. 用汇编语言产生各种波形的代码,包括方波、三角波,正弦波等-Using assembly language to generate a variety of waveforms of the code, including the square wave, triangle wave, sine wave
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:13541
    • 提供者:chenxuefeng
  1. music.rar

    0下载:
  2. 利用proteus设计的电子琴和倒计时播放音乐,里面有代码和硬件电路,The use of electric piano and proteus design countdown music, there are code and hardware circuit
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:31225
    • 提供者:邱述彦
  1. circle

    0下载:
  2. masm32 汇编源码-masm32 assembly source code
  3. 所属分类:assembly language

    • 发布日期:2017-11-08
    • 文件大小:8534
    • 提供者:jts
  1. code

    0下载:
  2. 代码优化,汇编,看不懂的东西,代码分析,汇编讲解-Code optimization, compilation, can not read things, code analysis, compilation of brief
  3. 所属分类:assembly language

    • 发布日期:2017-05-22
    • 文件大小:6981187
    • 提供者:zhengyuanjie
  1. encode

    0下载:
  2. 用verilog写的8B10B编码源代码。似乎有点难度来理解。这里并未使用case语句,而是完全的用的组合逻辑化简-Use verilog write 8B10B encoding source code. Seems difficulty understood.
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:1506
    • 提供者:颜回中
  1. win32virus

    0下载:
  2. 典型的win32asm写的病毒源码,是国内一个牛人写的。很有参考价值,对于使用和学习win32asm的朋友有帮助。asm源码加pdf-Typical win32asm write a virus source code, is written by a domestic cow. Great reference value for the use and learning win32asm a friend help. asm source code plus pdf
  3. 所属分类:assembly language

    • 发布日期:2017-03-25
    • 文件大小:75644
    • 提供者:memecha
  1. LUOYUNBIN-code

    0下载:
  2. 罗云彬出的那本书的第二版的光盘代码.里面有丰富的实例哦-Luo Yunbin the second edition of the book that the CD-ROM code. Oh, there are abundant examples of
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:725553
    • 提供者:wade cheri
  1. login

    0下载:
  2. 这是一段用汇编语言写的用户登录源码,密码用*号显示,并且只有用户名和密码全部输入正确才能登录,否则重新输入-This is a written in assembly language source code user login and password to use* No display, and only the user name and password to log all entered correctly, or re-enter
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:870
    • 提供者:sessionsky
  1. ta36

    0下载:
  2. This is the code For Digital Taxi Meter Using PIC 16F877.
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:5247
    • 提供者:Suyog
  1. code_NTLDR

    1下载:
  2. inghu 同志的《一种基于NTLDR的BOOTKIT──原理及实现》文中的代码,小弟把它整了出来,并成功进行了实验。如有侵权,请通知-inghu comrades " NTLDR based on the BOOTKIT ─ ─ principle and implementation of" the text in the code, little brother out of it whole, and successfully carried out the exper
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:2358
    • 提供者:xiongjun
  1. mima4

    1下载:
  2. 基于80X86的asm汇编语言程序。电子密码锁,可以实现输入密码。判读那密码,修改密码,报警功能等。-Asm on the 80X86 assembly language program. Electronic code lock, a password can be achieved. Interpretation of the code, modify passwords, alarm functions.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:2413
    • 提供者:panling
  1. amis

    0下载:
  2. random.zip 随机数产生器的汇编源代码 cmdsrc.zip 一个文本编辑器的汇编源代码 ourvxd.zip 一个用汇编编VxD的简单例子 foxprn.zip 一个在Fox中利用汇编语言接口程序实现打印图形的程序 amis.zip 在汇编程序中灵活运用TSRs的程序库-random.zip random number generator to compile the source code cmdsrc.zip a text editor ourvxd.zip compilation
  3. 所属分类:assembly language

    • 发布日期:2017-11-09
    • 文件大小:123658
    • 提供者:黄小龙
  1. asmfiles

    0下载:
  2. random.zip 随机数产生器的汇编源代码 cmdsrc.zip 一个文本编辑器的汇编源代码 ourvxd.zip 一个用汇编编VxD的简单例子 foxprn.zip 一个在Fox中利用汇编语言接口程序实现打印图形的程序 amis.zip 在汇编程序中灵活运用TSRs的程序库-random.zip random number generator to compile the source code cmdsrc.zip a text editor ourvxd.zip compilation
  3. 所属分类:assembly language

    • 发布日期:2017-11-09
    • 文件大小:9261
    • 提供者:黄小龙
  1. bios-asm

    0下载:
  2. 一个80x86 BIOS 的汇编源代码.-80x86 BIOS a compilation of source code.
  3. 所属分类:assembly language

    • 发布日期:2017-11-09
    • 文件大小:37575
    • 提供者:姚聪
  1. VA999

    0下载:
  2. 是個能夠做附力葉分解動作相當有用的一套程式碼希望能有所幫助-Is a force can do is attached leaf decomposition action of a set of very useful code hope to be helpful
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:1871
    • 提供者:方評
  1. TLK

    0下载:
  2. 采用8253,8255,8259实现交通灯的控制,十字路口,有详细硬件连接图,软件代码以及详细的工作原理-8253,8255,8259 adopted to achieve the control of traffic lights, crossroads, and a detailed plan to connect the hardware, software code, as well as detailed working principle
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:126531
    • 提供者:李立
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com