CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 分频器

搜索资源列表

  1. VerilogHDLshejifengpingqihe32weijishuqi

    0下载:
  2. 本文件介绍的是用VerilogHDL语言设计分频器和32位计数器.-This paper presents the design using Verilog HDL language Frequency Divider and 32 counters.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:159000
    • 提供者:少华
  1. verilog50%

    0下载:
  2. 本文主要介绍了50%占空比三分频器的三种设计方法,并给出了图形设计、VHDL设计、编译结果和仿真结果。设计中采用EPM7064AETC44-7 CPLD,在QUARTUSⅡ4.2软件平台上进行。 -This paper introduces a 50% duty cycle three dividers of the three design methods, and gives the graphic design, VHDL design, compile results and the
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:187592
    • 提供者:li
  1. EasyClockDivider

    0下载:
  2. 关于用触发器构建简单分频器的介绍文档,图文并茂,讲解详细-Construction on the simple flip-flop with the divider on file with illustrations to explain the details
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:199157
    • 提供者:朱琨
  1. FPGA.CPLD

    0下载:
  2. fpga cpld 常见模块设计,包括基于fpga 的全数字锁向环,基于fpga cpld 的半整数分频器的设计等,很有用-fpga cpld common module design, including fpga-based all-digital locks to the ring, Based on the semi-fpga cpld integer divider design and useful
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:794172
    • 提供者:黎莉
  1. fenpinqi

    0下载:
  2. 《分频器设计》绝对好用的EDA实验程序!已经通过测试。VHDL语言编写-"Frequency Divider" absolutely good for EDA experimental procedure! Already passed the test. VHDL language
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1185
    • 提供者:潘晓峰
  1. asdf

    0下载:
  2. EDA常用计数函数VHDL程序设计,基于VHDL的交通灯设计实例&分频器
  3. 所属分类:软件工程

    • 发布日期:2014-01-18
    • 文件大小:665385
    • 提供者:lzh
  1. 分频器

    0下载:
  2. 详细分析了各种分频器以及其算法,还有举例!
  3. 所属分类:文档资料

    • 发布日期:2009-03-26
    • 文件大小:12621
    • 提供者:luyuang@126.com
  1. 使用VHDL进行分频器设计

    0下载:
  2. 详细介绍了利用vhdl实现小数整数分数及不通占空比分频的方法
  3. 所属分类:其它文档

  1. 分频器VHDL语言讲解.doc

    0下载:
  2. 分频器VHDL语言讲解
  3. 所属分类:文档资料

  1. encoder

    0下载:
  2. 此为介绍一光电编码器的学术论文,采用VHDL语言编写,介绍了4分频的实现。-This is the descr iption of the papers of a photoelectric encoder using VHDL language, introduced a 4-band implementation.
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:108535
    • 提供者:name
  1. dividerfrequency

    0下载:
  2. 分频器,包括2分频,4分频,8分频,16分频;6分频;20分频-Divider, including two-way, 4-way, 8-way, 16 sub-frequency six-way 20 Crossover
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:1092
    • 提供者:Yothen.Lam
  1. 15

    0下载:
  2. 半整数分频器的设计 请不要上传有版权争议的内容和木马病毒代码 -Half-integer divider design, please do not upload copyrighted content and controversial Trojan code
  3. 所属分类:软件工程

    • 发布日期:2017-04-25
    • 文件大小:72150
    • 提供者:顾春辉
  1. n_evendivider

    0下载:
  2. 标签: Verilog 分频器 N倍奇数分频器.(Verilog) N_odd_divider.v / Verilog module N_odd_divider (-Labels: Verilog divider divider N odd times. (Verilog) N_odd_divider.v/Verilog module N_odd_divider (
  3. 所属分类:File Formats

    • 发布日期:2017-04-25
    • 文件大小:213103
    • 提供者:王媛媛
  1. shuzipinluji

    0下载:
  2. 数字频率计的设计可以分为测量计数和显示。其测量的基本原理是计算一定时间内待测信号的脉冲个数,这就要求由分频器产生标准闸门时间信号,计数器记录脉冲个数,由控制器对闸门信号进行选择,并对计数器使能断进行同步控制。控制器根据闸门信号确定最佳量程。-The design of digital frequency meter can be divided into measurement and display count. The basic principle of its measurement i
  3. 所属分类:Project Design

    • 发布日期:2017-04-26
    • 文件大小:54008
    • 提供者:黄花
  1. jiaotongxinhaodengkongzhiqidesheji

    0下载:
  2. 本论文主要介绍了红、绿、黄三色交通信号灯较简单的数字逻辑控制电路设计及其原理。本设计方案由定时器、分频器、扭环形计数器、十进制减法器及七段显示译码器实现交通灯红、黄、绿三色的自动切换,在切换灯光颜色的同时进行时间定时状态的切换,使整个交通灯系统得以按照事先设定的定时时间顺利运转。-This paper focuses on the red, green, yellow three-color traffic signal control of the relatively simple digi
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:1248291
    • 提供者:阿杰
  1. si4133-datasheet

    0下载:
  2. 该Si4133是一个单片集成电路,既执行IF和双频 RF合成为无线通信应用。在Si4133 包括三个和VCO,环路滤波器,参考和VCO分频器,相位 探测器。除法和可编程掉电设置与threewire 串行接口。-The Si4133 is a monolithic integrated circuit, both the implementation of the IF and dual-band RF synthesis for wireless comm
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:469508
    • 提供者:峰之巅
  1. frequencydivider

    0下载:
  2. 计数器和分频器的PDF资料,供大家参考哈。希望对大家有用-Counter and frequency divider of the PDF information for your reference ha. Want to be useful to everyone
  3. 所属分类:File Formats

    • 发布日期:2017-05-30
    • 文件大小:12595257
    • 提供者:周心驰
  1. RTC

    0下载:
  2. RTC 实时时钟,主要用于实现长时间计时。模块包括可选8:1 分频器,一个定时器T14,及一个32 位RTC 计数器。本例程介绍RTC的DAVE配置以及KEIL的编程指导-RTC Real Time Clock, mainly used to achieve a long time. Module includes an optional 8:1 divider, a timer T14, and a 32-bit RTC counter. The routine introduction of
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:523505
    • 提供者:陈全
  1. digitalfreq

    0下载:
  2. 由于本人没有多少很好的源码,所以只能上传目前所做项目的相关参考文献资料。资料一的内容是数字分频器的参考文献,在fpga中数字分频器用的很多,文献对于设计小数分频器有一定的参考价值。-I am not much good as the source, we can only upload now doing projects related reference materials. Information content of a digital divider references in the
  3. 所属分类:Document

    • 发布日期:2017-05-06
    • 文件大小:1034376
    • 提供者:lwj
  1. A-Universal-Programmable-Dual-Divider

    0下载:
  2. 一种通用的可编程双模分频器A Universal Programmable Dual Divider-A Universal Programmable Dual Divider
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:569815
    • 提供者:bing02020
« 12 »
搜珍网 www.dssz.com