CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 键盘 扫描

搜索资源列表

  1. ps2

    0下载:
  2. PS2完整协议,包括完整的PS2键盘扫描码和指令。-PS2
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:617019
    • 提供者:lifeng
  1. sourceprogram

    0下载:
  2. 篮球计时器,键盘扫描,步进电机的控制,交通灯,及其温度计-Basketball timer, keyboard scanning, stepping motor control, traffic lights, and the thermometer
  3. 所属分类:software engineering

    • 发布日期:2017-04-15
    • 文件大小:6619
    • 提供者:李福来
  1. verilog_keyboard_scaning

    0下载:
  2. 介绍怎么用verilog实现简单的键盘扫描程序,仅作参考-Describes how to use verilog simple keyboard scanner, for reference only
  3. 所属分类:Document

    • 发布日期:2017-04-15
    • 文件大小:12053
    • 提供者:dc
  1. key_deal

    0下载:
  2. 行列键盘的学习是单片机学习的必经之路,可是对了初学者来说学习起来并不容易。书上的资料不多,或是说明不细,抑或太复杂不易理解。我在学行列键盘时也有过此类的问题,近日我发现了一个非常好的行列扫描的方式——线反转法行列键盘扫描,它简单易懂,非常适合初学者学习,也可作为程序开发之用-key deal
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:967
    • 提供者:123123123
  1. jianpan

    0下载:
  2. 此文件时矩阵键盘扫描程序,他可能对你有用啊。-this is a good txt,it may be helpful for you.
  3. 所属分类:File Formats

    • 发布日期:2017-11-24
    • 文件大小:646
    • 提供者:niu
  1. 4X4-KeyScan

    0下载:
  2. 经典的4*4矩阵键盘扫描程序,已经测试通过,可以直接使用。-Key scan program
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:4352
    • 提供者:bobo
  1. SY44

    0下载:
  2. 键盘扫描及显示设计实验,扫描键盘输入,并将扫描结果送数码管显示,键盘采用4*4,每个数码管显示值可为0-F-The keyboard scan and display design experiment
  3. 所属分类:software engineering

    • 发布日期:2017-11-26
    • 文件大小:970
    • 提供者:许智
  1. key

    1下载:
  2. stm32下 键盘扫描,可扫描单机,双击,及长按-stm32 under the keyboard scan , scan stand-alone , double , and long press
  3. 所属分类:software engineering

    • 发布日期:2017-11-20
    • 文件大小:4181
    • 提供者:zhuweijian
  1. juzhen

    0下载:
  2. 程序是基于c语言的,十分简单易懂的键盘扫描程序。-The program is based on the C language, is very straightforward keyboard scanner.
  3. 所属分类:software engineering

    • 发布日期:2017-11-30
    • 文件大小:11470
    • 提供者:郭杰
  1. IP(1)

    0下载:
  2. 全功能硬件扫描键盘控制器IP核的实现,属于比较前沿的的键盘扫描方法-The realization of the full-featured hardware scanning keyboard controller IP core, belonging to compare the forefront of keyboard scan
  3. 所属分类:Project Design

    • 发布日期:2017-11-14
    • 文件大小:124539
    • 提供者:杨冰
  1. BC7281KEYaLED

    0下载:
  2. 基于51单片机的bc7281键盘扫描 LED显示的设计及程序-Based on 51 single-chip the BC7281 keyboard scan LED display design and procedures
  3. 所属分类:Software Testing

    • 发布日期:2017-11-20
    • 文件大小:125242
    • 提供者:zyl
  1. bioskey()

    0下载:
  2. bioskey()函数能返回的所有的键盘扫描码。-bioskey () function to return all of the keyboard scan codes.
  3. 所属分类:software engineering

    • 发布日期:2017-11-21
    • 文件大小:2674
    • 提供者:LH
  1. 1-1

    0下载:
  2. 单片机键盘扫描程序伴有键盘消抖,简便易懂,适合初学者-SCM keyboard scanner with keyboard debounce and easy to understand for beginners
  3. 所属分类:File Formats

    • 发布日期:2017-11-28
    • 文件大小:569
    • 提供者:hangeng
  1. ch451dispay

    0下载:
  2. CH451键盘扫描显示程序代码。可扫描数码管显示和键盘按键。-Internally, a common process of every XIM is to translate keyboard scan code into keycode and then to keysym, by calling XKB, whose process detail has been described in previous sections
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:3072
    • 提供者:陈阿龙
  1. juzhenjianpan

    0下载:
  2. MSP430F169单片机矩阵键盘扫描程序,用一位共阳数码管显示-MSP430F169 microcontroller matrix keyboard scanning procedures, using a common anode LED display
  3. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:18110
    • 提供者:止战之殇
  1. 4K3-mini

    0下载:
  2. msp430 4k3 msp430 单片机的键盘扫描程序,可用于检测-msp430 4k3 msp430 microcontroller keyboard scanning procedure can be used to detect
  3. 所属分类:File Formats

    • 发布日期:2017-04-17
    • 文件大小:24227
    • 提供者:samkingdom
  1. VHDL_design

    1下载:
  2. 本综合实验包括节拍脉冲发生器、键盘扫描显示和八位二进制计数器三个模块。采用VHDL语言为硬件描述语言,Xilinx ISE 10.1作为开发平台,所开发的程序通过调试运行验证,初步实现了设计目标。-This includes comprehensive experimental beats pulse generator, display and keyboard scan eight binary counter three modules. Using VHDL as the hardwar
  3. 所属分类:software engineering

    • 发布日期:2017-03-24
    • 文件大小:90771
    • 提供者:陈芳
  1. VHDL-flutterfree-counter

    0下载:
  2. 本实验主要完成的实验是完成4×4键盘扫描的,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。-This experiment is to complete 44 experiments done keyboard scan, and then get their keys, and encode to carry identification keys, and the corresponding key value to be displayed.
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:10387
    • 提供者:陈芳
  1. TM1629A_V1.1

    0下载:
  2. TM1629A TM1629A是带键盘扫描接口的LED(发光二极管显示器)驱动控制专用电路,内部集 成有MCU 数字接口、数据锁存器、LED 高压驱动-LED TM1629A TM1629A is with a keyboard scan interface (light-emitting diode display) dedicated drive control circuit, the internal MCU integrated digital interface, data latc
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:323739
    • 提供者:pop
  1. jianpan

    0下载:
  2. 键盘扫描,实现多路按键同时扫描,同时将按键的值显示在数码管上-Keyboard scan
  3. 所属分类:software engineering

    • 发布日期:2017-05-14
    • 文件大小:3430147
    • 提供者:王童
« 1 23 »
搜珍网 www.dssz.com