CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 3.7

搜索资源列表

  1. 普华项目管理

    0下载:
  2. Module 1: 项目管理基本概念 Module 2: 项目管理流程 Module 3: 信息技术战略方法 Module 4: 业务流程方法 Module 5: 里程碑目标计划 Module 6: 职责 Module 7: 综合项目计划 Module 8: 七个要素 Module 9: Team 介绍-Module 1 : project management basic concepts Module 2 : Project Management Process Module 3 : inf
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2636786
    • 提供者:金娟
  1. 防火墙与入侵检测课程设计

    2下载:
  2. 目录 1 引言... 1 1.1      防火墙的基本工作原理... 1 1.1.1 防火墙技术分类... 1 1.1.2 包过滤防火墙... 1 1.1.3应用网关防火墙... 2 1.1.4 状态检测防火墙... 2 1.2 入侵检测系统原理... 2 1.2.1 按入侵检测的主要技术分类... 3 1.2.2 按入侵检测系统的数据来源分类... 3 2 本系统的网络拓扑图及IP地址规划... 4 2.1 网络拓扑图.
  3. 所属分类:其它文档

    • 发布日期:2009-01-16
    • 文件大小:653824
    • 提供者:aideyongheng
  1. 物流管理系统

    3下载:
  2. 系统任务描述:为物流公司管理货物信息及其流转信息,管理线路、站点信息、费用标准,为分公司提供方便有效的分检和选派车辆的功能。 任务目标: 1、 物流公司信息管理 2、 分站点信息管理 3、 收费标准信息管理 4、 线路信息管理 5、 货物信息管理 6、 包装材料信息管理、 7、 职工信息管理 8、 按目的站点分检 9、 按线路分检点 10、 选择到达分站点的车辆 11、 选择到达目的站点的车辆 12、 根据可载量和速度选择车辆分配货物 13、 货运信息管理 14、
  3. 所属分类:文档资料

  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. ANSYS常用的命令

    0下载:
  2. 目标:了解命令流的整体结构,掌握每个模块的标识 !文件说明段 /BATCH /TITILE,test analysis !定义工作标题 /FILENAME,test !定义工作文件名 /PREP7 !进入前处理模块标识 !定义单元,材料属性,实常数段 ET,1,SHELL63 !指
  3. 所属分类:文档资料

    • 发布日期:2009-06-30
    • 文件大小:25031
    • 提供者:autobot_llyy
  1. MFC程序员的WTL指南

    1下载:
  2. 序言 3 第一章ATL 界面类 4 1.1、对本书的总体介绍 4 1.2、对这一章的简单介绍 5 1.2.1、ATL 背景知识 ATL 和 WTL 的发展历史 5 1.2.2、ATL风格模板 6 1.3、ATL 窗口类 8 1.3.1、定义一个窗口的实现 8 1.3.2、填写消息映射链 9 1.3.3、高级消息映射链和嵌入类 11 1.3.4、ATL程序的结构 13 1.3.5、ATL中的对话框 15 第二章WTL 界面基类 18 2.1、WTL 总体印象 18 2.
  3. 所属分类:文档资料

  1. GB2312编码汉字显示编程.doc

    0下载:
  2. Normal 0 7.8 磅 0 2 false false false MicrosoftInternetExplorer4 /* Style Definitions */ table.MsoNormalTable {
  3. 所属分类:编程文档

    • 发布日期:2008-12-23
    • 文件大小:31232
    • 提供者:jackielau
  1. DOS下的点阵汉字显示.doc

    0下载:
  2. Normal 0 7.8 磅 0 2 false false false MicrosoftInternetExplorer4 /* Style Definitions */ table.MsoNormalTable {
  3. 所属分类:编程文档

    • 发布日期:2008-12-23
    • 文件大小:33792
    • 提供者:jackielau
  1. 银行计算机管理系统可行性分析

    0下载:
  2. 1 引言 ………………………………………………………………. 3 1.1 编写目的 …………………………………………………………………….. 3 1.2 背景 ………………………………………………………………………….. 3 1.3 参考资料 …………………………………………………………………….. 3 2 可行性研究的前提 ......................................................................... 3
  3. 所属分类:文档资料

  1. 简单网络管理协议SNM

    0下载:
  2. 1. 网络管理基本概念 3 2. 简单网络管理协议SNMP概述 4 2.1 SNMP的发展 4 2.2 SNMP的配置 5 3. 管理信息库MIB 6 4. SNMP的5种协议数据单元 8 5.管理信息结构SMI 11 6. SNMPv2协议 12 6.1 SNMPv2标准中的安全机制 14 6.2 SNMPv2 Party 14 6.3 SNMPv2协议操作 15 7. SNMPv3的体系结构 15 8. 公共管理信息CMIP 17 9. SNMP与CMIP的比较 1
  3. 所属分类:文档资料

    • 发布日期:2009-03-07
    • 文件大小:982016
    • 提供者:guyanzhao11
  1. VC编程经验总结

    0下载:
  2. 此 CHM 文档的内容均为 CSDN 众网友共同收集而来,由网友们于 2004 年 3 月 7 日在 www.csdn.net 网站 VC/MFC 版的非技术类论坛上正式提出整理工作的倡议,经王国凡同志(sgnaw 李逍遥)整理而成,以供大家工作学习参考之用。 我自认为是一个比较热心的人,经常帮助同学同事们解决 IT 方面的问题,有时也逛逛 CSDN。我在武汉出身,也在武汉工作三年了,希望将来能为 IT 业的发展作出贡献。整理工作虽然辛苦,但也快乐,错漏之处再所难免,如有建议或意见请联系
  3. 所属分类:编程文档

  1. PCI BIOS SPECIFICATION

    0下载:
  2. 1. Introduction ............................................................................................................... 1 1.1. Purpose ....................................................................................................... 1
  3. 所属分类:文档资料

  1. 3GPP R6标准

    0下载:
  2. 25996-800(release 6 2003-7-3) is the 3 generation parternership project(3GPP) release document This Document is designed for the MIMO telecommunication standared
  3. 所属分类:文档资料

    • 发布日期:2009-03-24
    • 文件大小:639551
    • 提供者:chill00@126.com
  1. C8051F中文资料

    0下载:
  2. C8051F340/1/2/3/4/5/6/7 系列器件使用Silicon Labs的专利CIP-51微控制器内核。CIP-51与MCS-51TM指令集完全兼容,可以使用标准 803x/805x的汇编器和编译器进行软件开发。CIP-51内核具有标准 8052 的所有外设部件,包括 4 个 16 位计数器/定时器、两个具有扩展波特率配置的全双工UART、一个增强型SPI端口、多达 4352 字节的内部RAM、128字节特殊功能寄存器(SFR)地址空间及多达 40 个I/O引脚。
  3. 所属分类:文档资料

    • 发布日期:2010-04-28
    • 文件大小:2585088
    • 提供者:ysl868@126.com
  1. javaNIO

    0下载:
  2. 一系列缓冲区类支撑起了 Java 2 平台标准版的新 I/O(NIO)包。这些类的数据容器形成了其它 NIO 操作(如套接字通道上的非阻塞读取)的基础。在本月的 Merlin 的魔力中,常驻 Java 编程专家 John Zukowski 展示了如何操作那些数据缓冲区来执行如读/写原语这样的任务以及如何使用内存映射文件。在以后的文章里,他将把这里所提到的概念扩展到套接字通道的使用。 Java 2 平台标准版(Java 2 Platform Standard Edition,J2SE)1.4 对
  3. 所属分类:文档资料

  1. 美味西瓜挑選撇步

    0下载:
  2. 夏日最物美價廉又鮮甜多汁的水果,大概非西瓜莫屬,酷熱裡來片冰西瓜,更是令人暑氣全消。西瓜每年4月從南部先採收,到6、7月時更是幾乎全台都有,產量達到最大。然而,西瓜的體積大,小西瓜吃個2、3天、大西瓜可能要吃上一周,如果買到不好吃的西瓜,可是浪費錢又令人氣惱,我們就請專家來教大家,適用每種西瓜挑選的通則吧! 挑對了西瓜,就能幸福的大快朵頤!
  3. 所属分类:其它文档

    • 发布日期:2010-09-23
    • 文件大小:159744
    • 提供者:mioosk
  1. 上海市城域网技术实施方案

    0下载:
  2. 目 录 1. 网络结构设计 1 1.1. 城域骨干网B平面组网结构 1 1.2. 宽带接入网组网方案 2 1.2.1. 市区关键业务子网 3 1.2.2. 郊区关键业务子网 3 2. 路由设计 5 2.1. 总体路由策略 5 2.1.1. 城域网B平面设立私有AS,与CN2及CHINANET不直连(MPLS VPN 可以直接连接CN2 SR) 6 2.2. IGP方案 7 2.3. BGP方案 8 2.4. MPLS VPN的CE-PE路由设计 10 3. L3 MPLS
  3. 所属分类:其它文档

    • 发布日期:2010-10-21
    • 文件大小:3515472
    • 提供者:lm197801
  1. SEO,什么SEO,学习SEO,SEO专题,SEO知识

    0下载:
  2. SEO目录 1、SEO的概要 2、SEO操作的分类 3、最新公开的SEO优化公式 4、网站SEO中遇到的问题 5、SEO安全 6、搜索引擎优化(SEO)专业术语 7、SEO优势 8、SEO项目实施6大步 9、SEO实施过程中主要相关人员影响 10、SEO优化的主要因素 11、SEO方案:增加网站反向链接的若干技巧
  3. 所属分类:网络营销

    • 发布日期:2010-08-18
    • 文件大小:44075
    • 提供者:Toroji
  1. SCA3000资料

    0下载:
  2. 所有轴(XYZ)性能相同 供电电压:2.35V -3.6V 数字I/O电压:1.7V-3.6V 可选的频率响应 64采样/轴缓冲存储器输出加速度数据和先进的技术能节省系统中的电耗和资源 运动和自由落体时触发中断信号 尺寸:7×7×1.8mm 高抗震性 RoHS/无铅焊接
  3. 所属分类:文档资料

  1. WebBrowser的8个方法和13个属性

    0下载:
  2. WebBrowser的8个方法和13个属性,以及它们的功能: 方法 说明  GoBack 相当于IE的“后退”按钮,使你在当前历史列表中后退一项  GoForward 相当于IE的“前进”按钮,使你在当前历史列表中前进一项  GoHome 相当于IE的“主页”按钮,连接用户默认的主页  GoSearch 相当于IE的“搜索”按钮,连接用户默认的搜索页面  Navigate 连接到指定的URL  Refresh 刷新当前页面  Refresh2 同上,只是可
  3. 所属分类:文档资料

« 1 2 3 4 5 67 8 9 10 11 ... 22 »
搜珍网 www.dssz.com