CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料

文件名称:自动售货机VHDL程序与仿真

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2009-05-08
  • 文件大小:
    199.5kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity PL_auto1 is
port ( clk:in std_logic;               --系统时钟
set,get,sel,finish: in std_logic;          --设定、买、选择、完成信号
coin0,coin1: in std_logic;           --5角硬币、1元硬币
price,quantity :in std_logic_vector(3 downto 0);  --价格、数量数据
item0 , act:out std_logic_vector(3 downto 0);    --显示、开关信号
y0,y1 :out std_logic_vector(6 downto 0);     --钱数、商品数量显示数据
act10,act5  :out std_logic);          --1元硬币、5角硬币
end PL_auto1;
architecture behav of PL_auto1 is
type ram_type is array(3 downto 0)of std_logic_vector(7 downto 0);
signal ram :ram_type;                   --定义RAM
signal item: std_logic_vector(1 downto 0);           --商品种类
signal coin: std_logic_vector(3 downto 0);           --币数计数器
signal pri,qua:std_logic_vector(3 downto 0);          --商品单价、数量
signal clk1: std_logic;                   --控制系统的时钟信号
begin
.。。。。。。。。。。。。。
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : 8.21 自动售货机VHDL程序与仿真.doc 列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com