CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - FPGA 通信

搜索资源列表

  1. FPGA_DDS

    1下载:
  2. 基于FPGA+DDS的MSK数字调制源设计 通信中的DDS技术应用-FPGA + DDS MSK modulation source design communication of DDS technology
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:115646
    • 提供者:liujl
  1. up_261128143F5F01A9

    0下载:
  2. 为解决直接序列扩频系统的数字收发机中初始频率的捕获问题,提出了一种通过DFT变换,在频域 上进行抛物插值运算的频偏估计的算法。该算法可适应低信噪比、宽频率偏移范围的恶劣通信环境和突发的通信 模式,且算法复杂度较低。该算法已在FPGA 中实现。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:63731
    • 提供者:赵平
  1. QPSK

    0下载:
  2. 该文介绍了各种信息技术中信息的传输及通信起着支撑作用,而对于信息的传输,数字通信 已成为重要手段。该文根据当今现代通信技术的发展,对QPSK信号的调制解调问题进行了分析, 并给出了用美国ELANIX公司的动态系统设计、仿真和分析软件System View 进行系统仿真的具体 设置,分析了仿真结果,并提出了用FPGA 技术实现这种系统的详细方法。
  3. 所属分类:软件工程

    • 发布日期:2014-01-18
    • 文件大小:379605
    • 提供者:子羽
  1. costas环资料

    1下载:
  2. 基于FPGA的全数字Costas环的设计与实现.caj 数字COSTAS环的原理与设计.kdh 一种改进的载波同步Costas环路设计及实现.caj 一种适合高速数字通信的并行Costas环结构.caj
  3. 所属分类:文档资料

    • 发布日期:2009-03-03
    • 文件大小:2443629
    • 提供者:txfatm
  1. IGLOO_Icicle_LCPS_SS.rar

    0下载:
  2. Actel 的高速USB接口下载版电路原理图。USB2.0+FPGA。想做个所USB接口通信的可以参考。,Actel IGLOO_Icicle scematics.
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:47436
    • 提供者:ali
  1. DDDC

    0下载:
  2. 采用FPGA中的Verilog编程语言实现无线通信中数字上变频的功能-Using the FPGA Verilog programming language on the conversion of digital wireless communication function
  3. 所属分类:software engineering

    • 发布日期:2017-03-25
    • 文件大小:3203
    • 提供者:卫晓辉
  1. jiangx

    0下载:
  2. 基于FPGA的超高速FFT硬件实现蒙特卡洛仿真在移动通信中的应用研究等实现 -FPGA-based ultra-high-speed FFT hardware implementation of Monte Carlo simulation in mobile communication, such as applied research to achieve
  3. 所属分类:Project Design

    • 发布日期:2017-04-10
    • 文件大小:1468836
    • 提供者:nimaoqing
  1. ofdm

    0下载:
  2. 通信系统系带数据处理部分的fpga实现(论文)-PCB下载站-matlab
  3. 所属分类:File Formats

    • 发布日期:2017-05-07
    • 文件大小:1556072
    • 提供者:李德知
  1. ARMloadFPGA(1)

    0下载:
  2. ARM加载FPGA.实现ARM和FPGA之间的正确通信-ARM LOAD FPGA ,TO LET THE ARM AND FPGA TO WORK
  3. 所属分类:Document

    • 发布日期:2017-04-08
    • 文件大小:37151
    • 提供者:sxy
  1. ARMloadFPGA(2)

    0下载:
  2. ARM加载FPGA.实现ARM和FPGA之间的正确通信-ARM LOAD FPGA ,TO LET THE ARM AND FPGA TO WORK
  3. 所属分类:Document

    • 发布日期:2017-04-16
    • 文件大小:155683
    • 提供者:sxy
  1. CellSearchcode

    0下载:
  2. 从《无线通信FPGA设计》一书中摘录下来的WCDMA系统小区搜索的FPGA实现第三部分代码。-From the " Wireless FPGA Design" a book excerpt down the WCDMA system cell search FPGA to achieve the third part of the code.
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:2626
    • 提供者:小武
  1. jifenlvboqi

    0下载:
  2. 为了解决软件无线电通信系统中频采样之后的极大数据量在基带处理部分对DSP计算的压力,常采用多速率处理技术.多速率处理过程中需要使用积分梳状滤波器、半带滤波器和高阶FIR滤波器.在分析了积分梳状滤波器的结构和特性的基础上,阐述了多级CIC滤波器一种高效的FPGA实现方法,该方法的正确性和可行性通过Quartus Ⅱ的时序仿真分析得以验证,实际中可以推广应用.-In order to solve software-defined radio communications system after I
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:179939
    • 提供者:王楚宏
  1. FPGA0

    0下载:
  2. FPGA的单片机多机串行通信网络。本文提及的大尺寸数码管动态驱动和保护电路-FPGA-MCU Multi-machine serial communications network. Mentioned in this large size digital tube dynamic drive and protection circuits
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:214866
    • 提供者:shyxp
  1. desginacrossclockfield

    0下载:
  2. FPGA设计时,常遇到多个时钟一起工作的情况, 这时就要考虑时钟域的问题,以及不同时钟域间的通信.此文详细介绍了跨时钟设计的相关问题.-FPGA design, often encounter a number of clock to work together, when we must consider the clock domains, as well as communication between different clock domains. This article det
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:477379
    • 提供者:李唐
  1. fjq4

    0下载:
  2. 光纤通信以其损耗低、高带宽、抗电磁干扰、保密性好等优点越来越多地应用在视频通信中[1~ 5 ]. 针对 光纤综合业务传输平台, 本文提出了一种将三路视频信息复用为一路视频信息的数字复接器的硬件电路 设计. 提高了传输容量和传输效率, 达到抗干扰、抗噪声的能力, 从而改善了传输平台的环境适应性及工作 性能. 该复接器硬件电路的设计采用EDA 技术, 以FPGA 器件为载体, 使用VHDL 硬件描述语言进行电路-Optical fiber communication with its l
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:326117
    • 提供者:renxiang
  1. FPGA_UART

    0下载:
  2. 介绍了UART的基本特点,提出了一种UART收发器的FPGA实现方法,实现了FPGA与其他数字系统的直接通信,测试结果表明用该UART模块实现的串行通信高速、稳定、可靠.-Describes the basic characteristics of UART presents a UART transceiver FPGA implementations to achieve the FPGA and other digital systems, direct communication, te
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:220453
    • 提供者:jalon
  1. 04z127

    0下载:
  2. ,以可编程逻辑阵列CPLD进行逻辑控制,采用2片现场可 编程门阵列FPGA分别作为图像预处理和2片DSP之间的通信, 实现了实时的基于灰度变换的图像目标识别处理-To programmable logic array CPLD to logic control, with two each field programmable gate array FPGA image preprocessing and communication between two DSP to achieve
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:364859
    • 提供者:bengfa6
  1. biyesejitognxinxitong

    0下载:
  2. 中文摘要 正交频分复用( OFDM , Orthogonal Frequency Division Multiplexing)是当前一种非常热门的通信技术。它即可以被看作是一种 调制技术,也可以被看作是一种复用技术。由于它具有抗多径衰落和频谱 利用率高的特点,因此被广泛应用于高速数字通信领域,比如应用于IEEE 802.11a无线局域网(WLAN)的物理层等等。 我的毕业设计的核心任务是:采用 FPGA 来实现一个基于OFDM 技术 的通信系统中的基带数据处
  3. 所属分类:Development Research

    • 发布日期:2017-04-09
    • 文件大小:1553613
    • 提供者:万利
  1. FPGA_uart

    0下载:
  2. verilog 编写的FPGA串口通信的代码,可实现串口的收发操作-FPGA serial communication code written in verilog serial transceiver operation
  3. 所属分类:software engineering

    • 发布日期:2017-11-14
    • 文件大小:101090
    • 提供者:wangwei
  1. 宽带单载波频域均衡系统设计与FPGA实现

    0下载:
  2. 单载波频域均衡(SC-FDE)是数字通信中克服多径衰落的有效技术。宽带通信系统中 应用单载波频域均衡系统设计,实现137.5 MHz 载波下27.5 Mbps 的码元传输速率。同时在系统中 添加1/2 码率卷积码与(239,223)里德-所罗门(RS)码的级联信道纠错编码,提高系统的可靠性。完成 单载波频域均衡系统设计,分析设计系统的关键技术,最终在现场可编程门阵列硬件平台上进行 系统实现、调试和验证,完成系统实际误码率的测试。
  3. 所属分类:报告论文

« 1 23 »
搜珍网 www.dssz.com