CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - array

搜索资源列表

  1. dingdianchengfaqisheji

    0下载:
  2. 目录: 0、 约定 1、 无符号数一位乘法 2、 符号数一位乘法 3、 布思算法(Booth algorithm) 4、 高基(High Radix)布思算法 5、 迭代算法 6、 乘法运算的实现——迭代 7、 乘法运算的实现——阵列 8、 乘加运算 9、 设计示例1 —— 8位、迭代 1、 实现方案1 —— 一位、无符号 2、 实现方案2 —— 一位、布思 3、 实现方案3 —— 二位 10、设计示例2 —— 16位、
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:382707
    • 提供者:少华
  1. Antenna_Synthesis

    0下载:
  2. 主要包括Dolph-Chebyshev Linear Array 和Taylor Line Source Method的原理和仿真-including Dolph - Chebyshev Linear Array and Taylo r Line Source Method Principle and Simulation
  3. 所属分类:行业发展研究

    • 发布日期:2008-10-13
    • 文件大小:175079
    • 提供者:cathy
  1. Software_for_Calculating_Radiation_Patterns_for_Li

    0下载:
  2. 对线形天线阵的发射图进行软件仿真,主要采用matlab-right Linear Array plans for the launch simulation software, used mainly Matlab
  3. 所属分类:行业发展研究

    • 发布日期:2008-10-13
    • 文件大小:194103
    • 提供者:cathy
  1. 11111.files

    0下载:
  2. 汽车娱乐电子推动了功能和容量的快速发展,促使设计人员在性能、成本和灵活性上做出综合考虑。与其他汽车电子领域不同,多媒体图形应用高度可视化, 其需求多变,在许多情况下甚至还没有建立标准。汽车设计人员需要一个能够提供最灵活、性能最佳而成本可控的解决方案。可编程逻辑,特别是现场可编程门阵列 (FPGA)便是这样的解决方案。 -automobile electronic entertainment functions and promote the rapid development of the
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:80728
    • 提供者:yaoming
  1. PointerArray

    0下载:
  2. C语言指针和数组使用,了解它们有异同.有助于更加熟练有使用他们.-C language pointer array and the use and understand their similarities and differences. Contribute to a more skilled use them.
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:790
    • 提供者:小龙
  1. memoryarray

    0下载:
  2. 此文档对数组结构在内存中是如何被分配空间做了非常详细的说明。对数组不太了解的人非常有帮助。-this document structure of the array in memory is being allocated space in a very detailed explanation. Array of people do not know much about the very helpful.
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:6055
    • 提供者:杨春
  1. ksdsnnd

    0下载:
  2. VB实现多组循环控件,可以同时实现很多维数组的计算,实现数组维数可控,计算精度可控-VB multi-cycle control, and can achieve many peacekeeping array, the array to achieve controllable dimension, precision controllable
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:94755
    • 提供者:张灯结
  1. DJDPLV_LWB

    0下载:
  2. 利用超高速硬件描述语言(VHDL)在现场可编程逻辑门阵列(FPGA)上编程实现的纯数字式等精度频率计,不但具有较高的测量精度,而且其测量精度不会随着被测信号频率的降低而下降。为了实现对任意信号进行频率测量,在前端输入加整形电路即可。-use ultra-high-speed Hardware Descr iption Language (VHDL) in field programmable logic gate array (FPGA) series The way to achieve su
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:30945
    • 提供者:刘刚
  1. DLSSNBA

    2下载:
  2. 一种新的基于麦克风阵列的近场声源定位和语音分离算法,它结合双波束二维定位和近场最小方差波束形成技术在阵列近场范围内实现声源定位和语音分离。-based on a microphone array of near-field acoustic source localization and speech separation algorithm, It combines two-beam two-dimensional positioning and near-field minimum vari
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:225118
    • 提供者:xiaobai
  1. Perlprimer

    0下载:
  2. 第1学时Perl 入门 第2学时Perl 的基本构件:数字和字符串 第3学时控制程序流 第4学时基本构件的堆栈:列表与数组 第5学时进行文件操作 第6学时模式匹配 第7学时哈希结构 第8学时函数-1 hour introductory paragraph 2 Perl school Perl's basic components : Digital string section and three school flow control procedures
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:4739339
    • 提供者:王明鑫
  1. drcom-client-win32-0.2.2-bin

    0下载:
  2. C++, although a marvelous language, isn t perfect. Matthew Wilson has been working with it for over a decade, and during that time he has found inherent limitations that require skillful workarounds. In this book, he doesn t just tell you what s wron
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:53113
    • 提供者:李志华
  1. Radar_Array_Signal_Processing

    0下载:
  2. Maximum Likelihood Methods in Radar Array Signal Processing
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:979213
    • 提供者:梅文化
  1. 库房管理-软件工程

    0下载:
  2. 软件工程作业的一个库房模拟系统 库房内容自己数组定义 主要体现各层数据流图特性-software engineering operations of a Treasury coffers simulation system as their main array definition floors data flow diagram characteristics
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:69468
    • 提供者:海浪
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. 二分法查找

    0下载:
  2. 二分法查找 二分法查找其实就是折半查找,一种效率较高的查找方法。针对有需数组来查找的。 主要思想是:(设查找的数组期间为array[low, high])
  3. 所属分类:技术管理

  1. 顺序表的应用

    0下载:
  2. 顺序表的应用 (1) 已知长度为n的线性表A采用顺序存储结构,请写一时间复杂度为0(n)、空间复杂度为0(1)的算法,该算法删除线性表中所有值为item的数据元素。要求:线性表元素个数n很大,而值为item的数据元素个数很少,要求移动元素个数尽量少;删除后的数组元素与原数组元素不必保持顺序一致。 (2)编写一个函数将一个顺序表A(有n个元素,且任何元素均不为0)分拆成两个顺序表,使A中大于0的元素存放在B中,小于0的元素存放在C中。 (3)假设一个算术表达式中包含圆括号,方括号
  3. 所属分类:技术管理

    • 发布日期:2016-01-22
    • 文件大小:2048
    • 提供者:jay
  1. NiosII_implementation_in_CCD_C

    0下载:
  2. The concept of the Altera Nios II embedded processor implementation inside Field Programmable Gate Array [FPGA] of the CCD camera for the “Pi of the Sky” experiment is presented. The digital board of the CCD camera, its most important components, cur
  3. 所属分类:软件工程

    • 发布日期:2017-04-09
    • 文件大小:1427409
    • 提供者:Francis Wu
  1. Cpracticereport.rar

    0下载:
  2. C++实习报告:包括源代码、需求分析、解题说明、调试报告等,主要内容是:循环和分支,数组和函数,指针、引用和异常处理,结构体和类,派生、继承和多态,文件和模板及综合等7大类型。 ,C++ internship report: including source code, needs analysis, problem-solving that debug report, the main contents are: circulation and branch, array and funct
  3. 所属分类:Communication

    • 发布日期:2017-04-05
    • 文件大小:281661
    • 提供者:elsa
  1. gaoshixiaoyuan

    0下载:
  2. 高斯消元法,可以随意改变方程组的行列数,用数组来存储矩阵的系数-Gaussian elimination, can be changed at the ranks of the number of equations, using an array to store the coefficient matrix
  3. 所属分类:File Formats

    • 发布日期:2017-04-05
    • 文件大小:1093
    • 提供者:甄巧
  1. Urban-True-Orthoimages-without-DEM

    0下载:
  2. 如何使用ADS40等线阵推扫式相机直接获取城市地区的真正射影像,在计算结果时,不需要地面的高程信息,仅仅需要两条有重复地区的影像数据即可。-Such as how to use the ADS40 pushbroom linear array camera directly to obtain the true orthophoto in urban areas, in the calculation of results, not the ground elevation informatio
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:1503356
    • 提供者:dawd
« 1 2 3 4 5 67 8 9 10 11 ... 37 »
搜珍网 www.dssz.com