CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - get

搜索资源列表

  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

  1. PCI BIOS SPECIFICATION

    0下载:
  2. 1. Introduction ............................................................................................................... 1 1.1. Purpose ....................................................................................................... 1
  3. 所属分类:文档资料

  1. javaNIO

    0下载:
  2. 一系列缓冲区类支撑起了 Java 2 平台标准版的新 I/O(NIO)包。这些类的数据容器形成了其它 NIO 操作(如套接字通道上的非阻塞读取)的基础。在本月的 Merlin 的魔力中,常驻 Java 编程专家 John Zukowski 展示了如何操作那些数据缓冲区来执行如读/写原语这样的任务以及如何使用内存映射文件。在以后的文章里,他将把这里所提到的概念扩展到套接字通道的使用。 Java 2 平台标准版(Java 2 Platform Standard Edition,J2SE)1.4 对
  3. 所属分类:文档资料

  1. 基于眼裂的人脸图像归一化.doc

    0下载:
  2. 针对人脸识别中图像前期处理的人脸图像归一化问题,用AdaBoost Cascade方法检测出人脸和眼裂,提出以两眼裂中心点连线为基准进行水平旋转和尺度的归一化矫正方法。与通常的利用两眼瞳距方法相比较,该方法更加快速准确,能处理多达20°的倾斜,对戴眼镜、图像模糊、俯视、仰视、斜视等情况的鲁棒性更好。 A novel approach was presented, using the line between the two center points of the palpebral fiss
  3. 所属分类:文档资料

    • 发布日期:2010-10-03
    • 文件大小:614400
    • 提供者:lichaoyou
  1. 详细推导了复平面上牛顿迭代法的原理和计算公式

    0下载:
  2. 详细推导了复平面上牛顿迭代法的原理和计算公式,用MATLAB编程实现了牛顿迭代法,得到一些奇异绚丽的分形图形-The complex plane is derived in detail the principle and Newton' s iteration formula, using MATLAB programming Newton' s iterative method, get some strange colorful fractal images
  3. 所属分类:软件工程

    • 发布日期:2017-04-09
    • 文件大小:1406377
    • 提供者:wanglei
  1. rtklib_2.4.1 卫星数据解算的程序

    2下载:
  2. rtklib 版本2.4.1 An Open Source Program Package for GNSS Positioning 日本开源网站上提供的关于卫星数据解算的程序。All of the executable binary APs for Windows are included in the package as well as whole source programs of the library and the APs. * For real-time PP
  3. 所属分类:行业发展研究

    • 发布日期:2017-03-02
    • 文件大小:27762602
    • 提供者:huo
  1. SOCRATES_4e_2e_1e_V1_1_UMPR_Re

    0下载:
  2. 这个是infieon的SDFE-4的芯片资料,是有关HDSL传输的,我可是费很大劲才弄到的,This is infieon the SDFE-4 chip data transmission is about HDSL, I get劲才But costs a lot of
  3. 所属分类:Project Manage

    • 发布日期:2017-05-13
    • 文件大小:3318258
    • 提供者:zhanghe
  1. NiosII_implementation_in_CCD_C

    0下载:
  2. The concept of the Altera Nios II embedded processor implementation inside Field Programmable Gate Array [FPGA] of the CCD camera for the “Pi of the Sky” experiment is presented. The digital board of the CCD camera, its most important components, cur
  3. 所属分类:软件工程

    • 发布日期:2017-04-09
    • 文件大小:1427409
    • 提供者:Francis Wu
  1. multisim.rar

    1下载:
  2. multisim是一门优秀的电路仿真工具,它在模拟电路和数字电路仿真方面的功能都不错,这里关于从网上收集的学习它的心得体会,帮助初学者快速上手。,Multisim is an excellent circuit simulation tool in a simulated circuit and digital circuit simulation functions are good, here to collect from the Internet about the study of i
  3. 所属分类:software engineering

    • 发布日期:2017-05-16
    • 文件大小:4099627
    • 提供者:谢川
  1. shukongyuanlitu.rar

    0下载:
  2. 数控系统硬件电路原理图,虽然简单,但是入门的好途径,CNC system hardware circuit diagram, though simple, but good way to get started
  3. 所属分类:Project Design

    • 发布日期:2017-05-02
    • 文件大小:749051
    • 提供者:rayfu
  1. gina.rar

    0下载:
  2. 获取windows登录密码的源码 不下会后悔的好东西呢,GET WINDOWS PASSWORD CODE
  3. 所属分类:Document

    • 发布日期:2017-03-31
    • 文件大小:34178
    • 提供者:alex
  1. Lions-UNIX

    0下载:
  2. 莱昂氏UNIX源码分析是一本经久不衰的UNIX资料,在经历了多年的知识产权纠纷后,此书终于得以正式出版。其中对汇编及C语言结构的解释极为全面系统。是开源系统程序员必备的资料。-Lion s Unix source code is a very specific writting due to its content and idea. Many programmers prone to get it as a valueable material for knowing the deepest
  3. 所属分类:software engineering

    • 发布日期:2017-05-25
    • 文件大小:8611347
    • 提供者:David Ho
  1. iperf-manual

    1下载:
  2. 介绍网络性能测试软件iperf使用方法。-introducing how to use iperf to get net wideth
  3. 所属分类:软件工程

    • 发布日期:2017-05-13
    • 文件大小:945826
    • 提供者:付歌
  1. 对网络上的ARP协议数据包进行解析

    0下载:
  2. 本课程设计的目的是对网络上的ARP协议数据包进行解析,通过编制程序,获取网络中的ARP数据包,解析数据包中各个字段的内容,将结果显示在标准输出上,并同时写入日志文件。-to get the correct answer
  3. 所属分类:其它文档

    • 发布日期:2017-03-23
    • 文件大小:4464
    • 提供者:lucky
  1. visio2003

    0下载:
  2. Visio是微软公司推出的一款矢量绘图软件。该软件提供了一个标准、易于上手的绘图环境,并配有整套范围广泛的模板、形状和先进工具。-Visio is a Microsoft introduced a vector drawing software. The software provides a standard, easy to get started drawing, and with the entire wide range of templates, shapes, and advanc
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:930071
    • 提供者:网络风
  1. Three-dimensionalBoolean-operations

    1下载:
  2. 研究了三角形表面模型的布尔运算。传统的CSG 算法是基于基本实体的布尔运算进行的,对于由三角形或多边形描述的表面模 型的复杂模型运算则十分困难。通过构建模型的BSP 树,利用BSP 树的空间分割能力,把参与运算的模型分割成两部分,然后,根据交、并、差 各种布尔运算,组合分割后的结果,实现了不规则三维模型的布尔运算-Researched on Boolean Operation of triangle facial model. Traditional CSG algorithm base
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:175018
    • 提供者:browser_movie
  1. getCursorOnGraph

    1下载:
  2. Labwindows中获取Graph上当前光标的坐标-Graph on the current cursor coordinates Labwindows get
  3. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:272177
    • 提供者:an
  1. DeviceMis

    0下载:
  2. 多项选择卡控件,轻松搞定复杂问题,让你的界面很漂亮-Multiple-choice card control, easy to get complex problems, make your interface is very beautiful
  3. 所属分类:software engineering

    • 发布日期:2017-05-13
    • 文件大小:3426707
    • 提供者:张伦
  1. custom-ic-design

    0下载:
  2. cadence的中文教程,一份操作介绍,较为详细地指导初学者在使用cadence时每一个步骤,帮助初学者很快上手-cadence of the Chinese tutorial, an operational descr iption, a more detailed guide for beginners in the use of cadence at each step, to help beginners get started quickly
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:216631
    • 提供者:滴滴
  1. SURFSIFT

    0下载:
  2. 这是SIFT和SURF算法的基本介绍的文档,初学者可以快速入门,对匹配算法快速掌握。-SIFT and SURF algorithms which is a basic introduction to the document, beginners can get started quickly, right matching algorithm to grasp.
  3. 所属分类:File Formats

    • 发布日期:2017-03-25
    • 文件大小:7499
    • 提供者:xujie
« 1 2 34 5 6 7 8 9 10 ... 49 »
搜珍网 www.dssz.com