CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - vhdl 模块

搜索资源列表

  1. VHDLKEYBOARD

    0下载:
  2. 此模块用 VHDL 硬件描述语言来实现,对键盘设计的实际操作检验表明,此模块响应迅速、识别准确,较好地实现了键盘扫描和去抖动功能, 达到了预期的设计目的。同时,将状态机、扫描线、计数器等相关参数稍作改动,就可以扩展到实现不同键盘矩阵的设计-VHDL hardware descr iption language to achieve the keyboard design of the actual operation of testing show that This module in res
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:62968
    • 提供者:章菁
  1. Multisim_circle

    0下载:
  2. Multisim 8可以将不同类型的电路组成混合电路进行仿真,界面直观,操作方便。本文先研究Multisim 8的操作方法及其应用实例。之后分别根据交通灯系统和出租车计价器系统的设计要求提出其改进的系统实施方案。然后根据两系统的改进方案,分别设计其数据子系统和控制子系统,并画出实现的电原理图,其中部分模块用VHDL语言实现。最后在Multisim 8中进行仿真,验证两系统的改进方案均正确实现了各自设计要求中的诸项功能。-Multisim 8 will be different types of
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:7665547
    • 提供者:
  1. -VHDL

    0下载:
  2. 本报告分两部分: 1 由matlab计算FIR数字滤波器的滤波系数; 2 用VHDL语言设计逻辑电路,再通过QUARTUS II 软件,将各个模块的电路封装成期间,在顶层设计中通过连线,完成整个系统。 -FIR digital filters based on VHDL
  3. 所属分类:File Formats

    • 发布日期:2017-04-03
    • 文件大小:115582
    • 提供者:王春鹏
  1. IIRfilterFPGA

    0下载:
  2. 介绍了IIR 滤波器的FPGA 实现方法,给出了 IIR 数字滤波器的时序控制、延时、补码乘法和累加四个模块的设计方法,并用VHDL和FPGA 器件实现了IIR 数字滤波。-Introduction of the IIR filter FPGA implementation method of IIR digital filter timing control, delay, multiplication and accumulation complement the four modules
  3. 所属分类:Document

    • 发布日期:2017-03-28
    • 文件大小:661061
    • 提供者:杨培科
  1. DCM

    0下载:
  2. Xilinx公司诸多型号开发版中的一个模块,能够实现1到16次倍频和分频等功能。使用时现在ISE集成开发环境下利用VHDL进行例化。本文档为个人学习总结-Xilinx, a number of models developed version of a module, be able to achieve 1-16 times multiplier and divider functions. ISE now use integrated development environment for
  3. 所属分类:Document

    • 发布日期:2017-03-29
    • 文件大小:163915
    • 提供者:张潘睿
  1. 07_DDSmokuai

    0下载:
  2. DDS模块 EWB Quartus2编译 电子综合设计试验箱程序-DDS module EWB Quartus2 chamber compile electronic integrated design process
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:76541
    • 提供者:罗健
  1. vhdl

    0下载:
  2. 电梯控制器的模块电路,其中一个很重要的模块,是txt格式的代码-Elevator controller module circuit, which is a very important module is the code txt format
  3. 所属分类:File Formats

    • 发布日期:2017-04-07
    • 文件大小:1557
    • 提供者:yang
  1. matlab_to_vhdlfpga

    0下载:
  2.   本文提出了加快发展之路   从理论设计,通过Matlab / Simulink环境   在定点算法对其行为模拟的   在FPGA或定制实现硅片。这个了   实现了netlist移植的Simulink系统   描述成的硬件描述语言[VHDL]。在这个例子中,这个   Simulink-to-VHDL转换器被设计来使用   代码来描述结构VHDL系统互连,   允许简单的行为说明基本模块。   结果VHDL bit-true交付后代码   比较定点Simu
  3. 所属分类:Project Design

    • 发布日期:2017-04-24
    • 文件大小:148219
    • 提供者:王晓
  1. dso

    0下载:
  2. 使用VHDL语言编写的简易数字存储示波器,用MAX+PlusII仿真验证。VHDL编写了采样、存储写、存储读和显示4个模块。采样使用ADC0809,存储器使用6264,显示使用DAC0832。-The design of the chip as a high-speed signal ADC0809 the A/D converter, SRAM6264 memory for data storage after sampling, DAC0832 chip as a signal of D/A
  3. 所属分类:Project Design

    • 发布日期:2017-03-27
    • 文件大小:502285
    • 提供者:兰江营
  1. ZX

    0下载:
  2. 本系统以51单片机及FPGA为控制核心,由正弦信号发生模块、功率放大模块、调幅(AM)、调频(FM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成-The system of 51 single-chip and FPGA for the control of the core module by the sinusoidal signal, power amplifier module, AM (AM), frequency modulation (FM) module, dig
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:105067
    • 提供者:123
  1. SOPC_Nios

    0下载:
  2. Altera SOPC Builder 提供了 Nios Ⅱ处理器及一些常用外设接口 ,但并没有提供 12864 液晶模块的接口及驱动。-Altera SOPC Builder provides the Nios Ⅱ peripheral processor and a number of commonly used interface, but did not provide 12864 LCD module and the drive interface.
  3. 所属分类:Project Design

    • 发布日期:2017-03-27
    • 文件大小:356548
    • 提供者:夏飞
  1. fpgada0832

    0下载:
  2. 该波形发生器以单片机(MCS8031)为中心控制单元,由键盘输入模块、数码管显示模块、D/A波形发生模块dac0832、幅值调整模块组成。采用DDFS技术,先将要求的波形数据存储于EEPROM中,这样可以保证掉电以后波形数据不丢失。-The waveform generator to single-chip microcomputer (MCS8031) as the central control unit, by the keyboard input module, digital tube
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:171926
    • 提供者:litong
  1. taxi

    0下载:
  2. 介绍了出租车计费器系统的组成及工作原理,简述了在EDA平台上用单片CPLD器件构成该数字系统的设计思想和实现过程。论述了车型调整模块、计程模块、计费模块、译码动态扫描模块等的设计方法与技巧。-Introduced a taxi meter system, the composition and working principle outlined in the EDA platform, with the single-chip CPLD devices constitute the digit
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:153428
    • 提供者:蒋思
  1. TheResearchoftherealtimesignalprocessingofSARbased

    0下载:
  2. 3.完成系统的FPGA程序开发与调试,主要包括FFT,IFFT,CMUL和转置 存储控制等模块,在此基础上,重点介绍了一种基于DDR SDRAM的行写行读高 效转置存储算法,在采用该算法进行转置存储操作时,读写两端的速度相匹配, 满足流水线操作要求,提高了整个系统的实时性。最后介绍了采用CORDIC算法 实现复图像求模运算的方法,分析了算法的硬件实现结构,并给出了基于FPGA 的实现方法及仿真结果。-he FPGA s development and debugging ar
  3. 所属分类:Project Design

    • 发布日期:2017-05-19
    • 文件大小:5156342
    • 提供者:mabeibei
  1. TheRealizationofAdaptiveArithmeticCoderWithFPGA.ra

    0下载:
  2. 本文又用C语言实现了标准的自适应算术编码,拿它与用FPGA实现的改进后的自适应算术编码的仿真结果对比验证了这种改进后编码器编码的正确性。此种结构的编码效率很高,一个时钟编码一个数据比特,时钟频率可以达到50MHZ,占用的硬件资源大约有800个CLB(可配置逻辑模块)。-This thesis realizes the adaptive arithmetic coding which is not improved with C language,compare with the result o
  3. 所属分类:Project Design

    • 发布日期:2017-05-12
    • 文件大小:2651503
    • 提供者:mabeibei
  1. ThedesignofUniversalAsynchronousReceiverTransmitte

    0下载:
  2. 本课题所设计的UART支持标准的RS.232C传输协议,主要设计有发送模块、接收模块、线路控制与中断仲裁模块、Modem控制模块以及两个独立的数据缓冲区FIFO模块。该模块具有可变的波特率、数据帧长度以及奇偶校验方式,还有多种中断源、中断优先级、较强的抗干扰数据接收能力以及芯片内部自诊断的能力,模块内分开的接收和发送数据缓冲寄存器能实现全双工通信。除此之外最重要的是利用口模块复用技术设计数据缓冲区FIFO,采用两种可选择的数据缓冲模式。这样既可以应用于高速的数据传输环境,也能适合低速的数据传输场
  3. 所属分类:Project Design

    • 发布日期:2017-05-17
    • 文件大小:5073351
    • 提供者:mabeibei
  1. SPWM_1.0

    0下载:
  2. 该软件实现了SPWM,能够对永磁同步电机实现变速控制,软件各模块独立,程序移植性高-The software implementation of the SPWM, can achieve variable speed permanent magnet synchronous motor control software modules independent, porting high
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:917829
    • 提供者:王雨
  1. jiyufpga

    0下载:
  2. 基于FPGA的数字图像处理,对图像进行中值滤波处理,算法介绍,模块介绍-FPGA-based digital image processing, median filtering on image processing, algorithm descr iption, module descr iption
  3. 所属分类:Document

    • 发布日期:2017-04-03
    • 文件大小:308308
    • 提供者:积极
  1. VHDL

    0下载:
  2. 电子琴VHDL程序包含有:顶层程序、音阶发生器程序、数控分频模块程序和自动演奏模块程序。-Keyboard VHDL program includes: top-level program, scale generator program, CNC frequency module program and the program automatically play the module.
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:1948
    • 提供者:剑锋
  1. VHDL二路洗衣机系统设计

    0下载:
  2. 洗衣机的系统设计,VHDL语言编写,采用VHDL模块化的设计方法来进行洗衣机控制器的设计,即自顶向下,从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块.最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本控制器基本功能描述洗衣机控制器可工作于五种模式下:单洗涤、单漂洗、单脱水、漂洗十脱水、洗涤十漂洗+脱水,可以使洗衣机控制器工作在任意一种模式,并显示出洗衣机的工作状态和剩余工作时间,在剩余时间结束后有报警声提示使用者,并且可实现暂停洗衣和继续的功能,此外,加入附加功能,可自选
  3. 所属分类:文档资料

« 12 3 »
搜珍网 www.dssz.com