CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 抢答器

搜索资源列表

  1. answer_system_base_on_rs485_serial_communication.r

    0下载:
  2. 一个基于485总线的抢答器系统的设计文档,参加电子竞赛的论文。-based on a 485 bus Responder system design documentation, to participate in the electronic race theses.
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:259282
    • 提供者:杨亦红
  1. 基于单片机的16路数字抢答器

    0下载:
  2. 基于单片机的16路数字抢答器
  3. 所属分类:其它文档

    • 发布日期:2011-06-15
    • 文件大小:461930
    • 提供者:cbghtjj@163.com
  1. 八路抢答器

    0下载:
  2. 运用数字电路实现把率抢答器,具有抢答锁存和报警功能
  3. 所属分类:文档资料

    • 发布日期:2011-06-18
    • 文件大小:1127936
    • 提供者:sunting19891030
  1. 数字式竞赛抢答器zhou

    1下载:
  2. 数字式竞赛抢答器zhou.doc
  3. 所属分类:文档资料

    • 发布日期:2012-09-15
    • 文件大小:163328
    • 提供者:jinchen123
  1. qiangdaqi

    0下载:
  2. 基于8051单片机的设计设计,四路抢答器的设计,word形式,汇编语言。抢答器-8051 based on the design of design, Design Quad Answer, word form, assembly language. Answer器
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:70102
    • 提供者:周周
  1. qiangda

    0下载:
  2. l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响,直至该路按键松开,显示牌显示该路抢答台号。 3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路 -l, d
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:305207
    • 提供者:hugh
  1. baluqiangdaqideyingyong

    0下载:
  2. 数字电路的八路抢答器的设计,就有基本的各项功能。万陈一个强大过程。-Answer the eight-way digital circuit s design, there are basic functions. Chen million a powerful process.
  3. 所属分类:File Formats

    • 发布日期:2017-04-07
    • 文件大小:309682
    • 提供者:cmfjxga
  1. Digital_Responder(Digital_Circuit)

    0下载:
  2. 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。-Answer the number is controlled by the main circuit and the expansion of circuit components. Priority enco
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:56654
    • 提供者:张堃
  1. 33

    0下载:
  2. 里面集成了基于GSM模块的车载防盗系统设计 TC35i 资料、数字抢答器(数字电路)、智能型充电器的电源和显示的设计等毕业设计论文-Inside the integrated GSM-based vehicle anti-theft module TC35i information system design, digital Answer devices [digital circuit], intelligent power charger and display design gradua
  3. 所属分类:File Formats

    • 发布日期:2017-05-09
    • 文件大小:1747795
    • 提供者:zhaox
  1. a

    0下载:
  2. 8路抢答器 vhdl 实验以及报告-Answer 8 experimental device as well as the report vhdl
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:258590
    • 提供者:fufeifei
  1. 111

    0下载:
  2. 智力抢答器的设计与分析智 力抢答器的设计与分析-kdfldk ddfsdgg gydsg sdg dgdgdgdgdgdgdf
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:587284
    • 提供者:zhang
  1. chen

    0下载:
  2. 智力抢答器 加减计数 抢答装置-Answer intellectual browser
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:37632
    • 提供者:chen
  1. qdq

    0下载:
  2. 本抢答器具有倒计时、定时、自动(或手动)复位、报警、屏幕显示、按键发光等多种功能的技术合-The Answer has the countdown, timer, automatic (or manual) reset, alarm, screen display, multi-function button LED technology, etc. All
  3. 所属分类:Document

    • 发布日期:2017-03-30
    • 文件大小:710414
    • 提供者:钱文超
  1. shuziqiangdaqi123

    0下载:
  2. 数字抢答器(数字电路)【课程设计】数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。-Answer the number of devices (digital circuit) curriculum design】 【Answer is controlled b
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:56193
    • 提供者:jdz
  1. Responder

    0下载:
  2. 此硬件电路为八路抢答器原理图,可实现八路抢答功能,需用Protel软件打开,希望能对大家又所帮助。-This hardware circuit diagram for the eight-way Responder can be realized Eight answer in his functions, need to use Protel software to open, hoping it helps all of us again.
  3. 所属分类:Project Design

    • 发布日期:2017-03-27
    • 文件大小:23283
    • 提供者:王许光
  1. balu

    0下载:
  2. 该资料为自行设计的基于与非门的八路抢答器,其中包含了原理图,PCB齐齐详细的设计过程。-The information for the self-designed and non-gate-based eight-way Responder, which includes schematics, PCB shouted in the detailed design process.
  3. 所属分类:File Formats

    • 发布日期:2017-04-10
    • 文件大小:1317344
    • 提供者:张鹏
  1. CompetitionResponder

    0下载:
  2. 竞赛抢答器 用于系统设计方面的~~希望能有用!-Competition Responder for system design ~ ~ hope to be useful!
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:248551
    • 提供者:wu
  1. qiangda

    0下载:
  2. 抢答器,很好的抢答器设计方案和实施。抢答器,很好的抢答器设计方案和实施-Responder, good answer device design and implementation. Responder, a very good answer device design and implementation
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:112674
    • 提供者:NIUYUANLAI
  1. cocahome_20100228151324

    0下载:
  2. 八路抢答器的资料然我们来更好的学习书显示的八路抢答器-Eight-way Responder course information to better study our book shows eight-way Responder
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:289664
    • 提供者:王紫
  1. 八路抢答器课程设计报告

    0下载:
  2. _八路抢答器课程设计报告 (1).doc
  3. 所属分类:文档资料

    • 发布日期:2012-11-17
    • 文件大小:2874880
    • 提供者:coolhandy
« 12 3 4 »
搜珍网 www.dssz.com