CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 文件格式 搜索资源 - VHDL

搜索资源列表

  1. 17bit_Smart_Absolute_Encoder.z

    2下载:
  2. 多摩川17bit绝对值编码器的NRG协议文档,配合上传的解码源程序,采用半双工的通信模式。,Tamagawa 17bit absolute encoder NRG agreement documents, with the upload source decoder, using half-duplex communication mode.
  3. 所属分类:File Formats

    • 发布日期:2016-01-25
    • 文件大小:4419620
    • 提供者:王中超
  1. -VHDL

    0下载:
  2. 本报告分两部分: 1 由matlab计算FIR数字滤波器的滤波系数; 2 用VHDL语言设计逻辑电路,再通过QUARTUS II 软件,将各个模块的电路封装成期间,在顶层设计中通过连线,完成整个系统。 -FIR digital filters based on VHDL
  3. 所属分类:File Formats

    • 发布日期:2017-04-03
    • 文件大小:115582
    • 提供者:王春鹏
  1. or_gate1

    0下载:
  2. 入门知识:或门用VHDL语言如何描述,并正确掌握VHDL语言的规范写法-Started: VHDL language or how to describe the door, and the right to master the VHDL language specification writing
  3. 所属分类:File Formats

    • 发布日期:2017-03-27
    • 文件大小:102118
    • 提供者:sun
  1. VHDLorverilogHDL

    0下载:
  2. 选择VHDL还是verilog HDL,说明文档-Choice of VHDL or verilog HDL, documentation
  3. 所属分类:File Formats

    • 发布日期:2017-04-05
    • 文件大小:4968
    • 提供者:靖书磊
  1. Manchester

    0下载:
  2. “Manchester码(双相码)编码器- Manchester Code (two-phase code) encoder
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:973
    • 提供者:冯小晶
  1. chuzuchejifeiqi

    0下载:
  2. 出租车计费器 课程设计报告 详细介绍其工作原理及工作过程-Taxi meter course design report details of its working principle and working process
  3. 所属分类:File Formats

    • 发布日期:2017-03-27
    • 文件大小:121177
    • 提供者:will
  1. vhdl

    0下载:
  2. 电梯控制器的模块电路,其中一个很重要的模块,是txt格式的代码-Elevator controller module circuit, which is a very important module is the code txt format
  3. 所属分类:File Formats

    • 发布日期:2017-04-07
    • 文件大小:1557
    • 提供者:yang
  1. LVDS

    0下载:
  2. 很多液晶屏都具有LVDS接口,本文对液晶屏的各种LVDS接口定义进行了详细说明。-Have a lot of LCD LVDS interface, this article on the various LVDS LCD interface definition described in detail.
  3. 所属分类:File Formats

    • 发布日期:2017-04-08
    • 文件大小:3734
    • 提供者:刘先生
  1. AD_TLC5510

    0下载:
  2. 用VHDL控制TLC5510从而实现对高速A/D器件TLC5510控制,进而处理-use fpga to control the tlc5510
  3. 所属分类:File Formats

    • 发布日期:2017-04-06
    • 文件大小:683
    • 提供者:kevin
  1. VHDL

    0下载:
  2. eda课程,包括数码管显示,可变步长计数器的编写-eda courses, including digital display, variable-step preparation of counter
  3. 所属分类:File Formats

    • 发布日期:2017-04-27
    • 文件大小:384731
    • 提供者:lxc
  1. vhdl

    0下载:
  2. 找到的一个自动售货机的vhdl程序,关于eda的设计有没有最新的一些说明之类的饿-The vendor 1 of source code . vendor can sell 3 kinds of merchandise: The Hamburg and 1 Yuan of hot dog wrap 2 Yuan and double-deck Hamburg 3 Yuan. It is infinite to set up quantity. 2 . vendor promise input 1
  3. 所属分类:File Formats

    • 发布日期:2017-03-31
    • 文件大小:61664
    • 提供者:myth
  1. VHDL

    0下载:
  2. 非常有用的资料,对学习VHDL很有帮助,大家要好好珍惜-Very useful information, to learn VHDL helpful, we have to cherish! !
  3. 所属分类:File Formats

    • 发布日期:2017-04-10
    • 文件大小:1720946
    • 提供者:lhy2009
  1. pp

    0下载:
  2. ANN VHDL Implemenetataion
  3. 所属分类:File Formats

    • 发布日期:2017-04-06
    • 文件大小:117975
    • 提供者:Mido
  1. VHDL

    0下载:
  2. 的应用实例 很有哟 针对爱好者可以联系我-vhdl
  3. 所属分类:File Formats

    • 发布日期:2017-05-22
    • 文件大小:6635096
    • 提供者:梅珠汉
  1. lunwen

    0下载:
  2. 利用VHDL设计的电梯系统毕业论文,包括所有波形和管脚分配-The elevator system design using VHDL thesis, including the distribution of all waveforms and pins
  3. 所属分类:File Formats

    • 发布日期:2017-05-08
    • 文件大小:1650332
    • 提供者:商小曼
  1. VHDL

    0下载:
  2. VHDL相关的知识,说明了在学习VHDL过程中长出现的一下问题,是很好的材料。-VHDL knowledge, long learning VHDL process of what is a very good material.
  3. 所属分类:File Formats

    • 发布日期:2017-11-16
    • 文件大小:1188484
    • 提供者:郭天圣
  1. 数字信号处理的FPGA的实验的VHDL编码

    0下载:
  2. 数字信号处理的FPGA的实验的VHDL编码-Experiments of the digital signal processing FPGA VHDL Code
  3. 所属分类:文件格式

    • 发布日期:2017-11-02
    • 文件大小:930
    • 提供者:钟芳慧
  1. vhdl-tutorial

    0下载:
  2. The purpose of this tutorial is to describe the modeling language VHDL. VHDL includes facilities for describing logical structure and function of digital systems at a number of levels of abstraction, from system level down to the gate level. It i
  3. 所属分类:File Formats

    • 发布日期:2017-11-03
    • 文件大小:313528
    • 提供者:bikramaditya
  1. vhdl

    1下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)--Design of VHDL algorithm for pseudo random sequence generator is a pseudorandom sequence generator, using the generating polynomial 1+X^3+X^7. RESET has a cli
  3. 所属分类:File Formats

    • 发布日期:2017-05-06
    • 文件大小:1304572
    • 提供者:沙爽
  1. VHDL-sequence-detector

    0下载:
  2. VHDL 序列检测 对特定的序列进行检测-VHDL sequence detector
  3. 所属分类:File Formats

    • 发布日期:2017-04-28
    • 文件大小:436555
    • 提供者:miracle
« 12 3 4 5 »
搜珍网 www.dssz.com