CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 编程文档 搜索资源 -

搜索资源列表

  1. dsp2407最小开发板原理图,PCB图

    1下载:
  2. dsp2407最小开发板原理图,PCB图,根据该PCB图做出的2407板子经常时间运行验证,可靠,稳定-dsp2407 smallest development board schematics, PCB map According to the PCB plans to make the 2407 regular time running board certification, reliable, stable
  3. 所属分类:编程文档

    • 发布日期:2011-01-12
    • 文件大小:489627
    • 提供者:zj.joe
  1. PB Tools(代码美化)源码(9.0版

    0下载:
  2. 所属分类:编程文档

    • 发布日期:2011-01-24
    • 文件大小:461514
    • 提供者:75239078@qq.com
  1. Jacob

    0下载:
  2. JaCob使用文档
  3. 所属分类:编程文档

  1. PB的中文帮助文档

    0下载:
  2. PowerBuilder,PB最全最好用的中文帮助文档。带阅读器
  3. 所属分类:编程文档

    • 发布日期:2011-12-05
    • 文件大小:3854850
    • 提供者:zhangyun173
  1. UltraEdit中文版15(带Key)

    2下载:
  2. UltraEdit中文版15(带Key),UltraEdit Chinese version 15 (with Key)
  3. 所属分类:编程文档

    • 发布日期:2017-06-02
    • 文件大小:15238077
    • 提供者:guo
  1. DirectX 9.0 for Delphi 声明档及范例.

    0下载:
  2. DirectX 9.0 for Delphi 声明档及范例,DirectX 9.0 for Delphi statement files and model
  3. 所属分类:编程文档

    • 发布日期:2017-11-09
    • 文件大小:14948686
    • 提供者:李勇
  1. IEC104Slave

    0下载:
  2. IEC 870-5-104 Slave example (EXE)
  3. 所属分类:Communication

    • 发布日期:2017-04-07
    • 文件大小:792499
    • 提供者:toschkin
  1. bird

    0下载:
  2. 桌面宠物,鸽子情缘,很有意思,而且不会影响工作-windows bird
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:123112
    • 提供者:20021362
  1. shuaipiaojizidon

    0下载:
  2. 自动换IP刷投票,是一款不错的小型软件 可以自动在网上投票。挺不错的-Brush automatically vote for IP, is a good small software can automatically vote online. Very good
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:538550
    • 提供者:aa
  1. ICT006

    0下载:
  2. ICT(台湾吉鸿)的收币器通讯协议,可以与硬币接收/找零装置对接。由上位机或单片机控制。 此为测试软件,能清楚看到收发的指令。-ICT (Taiwan ICT) and communication protocols coin collection, coins can receive/give change device docking. Controlled by a PC or microcontroller. This is a test software, can send and
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:276478
    • 提供者:deathpig
  1. UpdateClone5

    0下载:
  2. dalsi nepouziotelny soubor
  3. 所属分类:Communication

    • 发布日期:2017-05-10
    • 文件大小:2261548
    • 提供者:jura
  1. MG323AT

    0下载:
  2. 华为MG323模块AT命令测试工具,打开包里的串口工具,依次点击发送at命令实现测试模块GPRS的UDP通信功能-Huawei MG323 module AT command test tools, open the bag, serial tools Click to send at command the UDP communication function of the test module GPRS
  3. 所属分类:Communication

    • 发布日期:2017-11-08
    • 文件大小:282397
    • 提供者:李学强
  1. usbkiller

    0下载:
  2. linux usbkiller的驱动电子书集 对开发linux地层程序有很好的指导作用-driver linux usbkiller e-book collection on the development of stratigraphic procedure linux have a good guide
  3. 所属分类:Communication

    • 发布日期:2017-03-30
    • 文件大小:784814
    • 提供者:johnson
  1. SnoopyPro-0.22

    0下载:
  2. 所属分类:Communication

    • 发布日期:2017-04-26
    • 文件大小:298715
    • 提供者:唐英傑
  1. USBTrace_Build_53_271108_Installer

    0下载:
  2. usb開發工具,封包監測,數值驗證與除錯,監看內容可由使用者設定,不錯用-usb development tools, packet monitoring, numerical validation and debug, monitor the content by the user settings, a good use!!
  3. 所属分类:Communication

    • 发布日期:2017-05-10
    • 文件大小:2073798
    • 提供者:唐英傑
  1. Enc_Turbo_3gpp

    0下载:
  2. this file simulates encoding turbo by using matlab
  3. 所属分类:Communication

    • 发布日期:2017-04-04
    • 文件大小:2264
    • 提供者:armi
  1. Zup2Bin

    0下载:
  2. this soft for zenega firmwares
  3. 所属分类:Communication

    • 发布日期:2017-03-23
    • 文件大小:146188
    • 提供者:vijay digital
  1. UltraEdit

    0下载:
  2. 为UltraEdit破解版本,非常好用,大家应该都很熟悉。-Crack for UltraEdit version, very easy to use, everyone should be very familiar with。
  3. 所属分类:Communication

    • 发布日期:2017-04-05
    • 文件大小:921856
    • 提供者:hh
  1. Fetion

    0下载:
  2. 飞信软件,可以下载运用,用于电脑与移动设备通信-Fetion software, you can download the application for mobile devices and computer communications
  3. 所属分类:Communication

    • 发布日期:2017-05-30
    • 文件大小:12519668
    • 提供者:yst
  1. Jtag_Tester_Corr

    0下载:
  2. Jtag tester software
  3. 所属分类:Communication

    • 发布日期:2017-05-11
    • 文件大小:2275354
    • 提供者:docdude
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com