CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 编程文档 搜索资源 - watchdog

搜索资源列表

  1. Watchdog

    0下载:
  2. 看门狗,又叫 watchdog timer,是一个定时器电路, 一般有一个输入,叫喂狗,一个输出到MCU的RST端,MCU正常工作的时候,每隔一端时间输出一个信号到喂狗端,给 WDT 清零,如果超过规定的时间不喂狗,(一般在程序跑飞时),WDT 定时超过,就回给出一个复位信号到MCU,是MCU复位. 防止MCU死机. 看门狗的作用就是防止程序发生死循环,或者说程序跑飞-Watchdog, called watchdog timer, a timer circuit, generally have
  3. 所属分类:Communication

    • 发布日期:
    • 文件大小:5968
    • 提供者:WUSHIJUN
  1. dw_apb_wdt_db

    0下载:
  2. verilog实现watchdog参考文档,可用于实现watchdog。-verilog realize watchdog reference documentation can be used to implement the watchdog.
  3. 所属分类:Communication

    • 发布日期:2017-05-02
    • 文件大小:731838
    • 提供者:刘精轶
  1. f-murphy

    0下载:
  2. 本文章讲述如何使用看门狗。叙述了看门狗的种类以及示例。是个很好的学习资料。-This article describes how to use watchdog. He describes the kind of watchdog and an example. Is a very good learning materials.
  3. 所属分类:Communication

    • 发布日期:2017-05-05
    • 文件大小:90151
    • 提供者:刘振勇
搜珍网 www.dssz.com