CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 编程文档 搜索资源 -

搜索资源列表

  1. workingdb

    0下载:
  2. vb病毒小程序,很厉害,短小而精悍,好好学习吧-vb virus, a small program, very powerful, short and lean, learn bar
  3. 所属分类:Communication

    • 发布日期:2017-04-08
    • 文件大小:537228
    • 提供者:duguca
  1. matlab

    0下载:
  2. tells you how to use erosion dilation and thresholding for license plate number recognition
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:781
    • 提供者:open minded
  1. map

    0下载:
  2. New Mir 3 Boots you can use it to upgrade the boots from you old mir3 server idf you want. Hope it will help you
  3. 所属分类:Communication

    • 发布日期:2017-05-12
    • 文件大小:2788117
    • 提供者:moderatto
  1. 16QAM

    0下载:
  2. This the QAM modulation scr ipt.-This is the QAM modulation scr ipt.
  3. 所属分类:Communication

    • 发布日期:2017-04-10
    • 文件大小:1310
    • 提供者:manik
  1. sample

    0下载:
  2. this is quite useful data may b its been uploaded before its quite helpful.
  3. 所属分类:Communication

    • 发布日期:2017-04-13
    • 文件大小:1546
    • 提供者:chandan
  1. channel

    0下载:
  2. it is a constant modulus algorithm in fractional spaced error
  3. 所属分类:Communication

    • 发布日期:2017-04-11
    • 文件大小:1392
    • 提供者:chakri143
  1. gprs

    0下载:
  2. 介绍常用的AT指令,包括gpsr初始化,发短信等-Commonly used in the AT commands, including the gpsr initialization, send text messages, etc.
  3. 所属分类:Communication

    • 发布日期:2017-03-30
    • 文件大小:1650
    • 提供者:dstang2000
  1. verilog_dpll_

    0下载:
  2. 该源代码是用FPGA实现数字锁相环的逻辑,有需要的可以借鉴参考一下。-The source code is to use FPGA implementation of digital phase-locked loop logic, those in need can draw reference.
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:3508
    • 提供者:何柳
  1. process

    0下载:
  2. process descr iption and define-process process
  3. 所属分类:Communication

    • 发布日期:2017-04-05
    • 文件大小:1712
    • 提供者:com1g2g3g
  1. 17869356wendu

    0下载:
  2. 有关CC2430的文档,里面的东西很实用,希望对你有帮助-The CC2430 document, which is very useful stuff, want to help you
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:6860
    • 提供者:王莹
  1. ADRC_suanfa

    0下载:
  2. ADRC算法,简单易懂,注释稍微有些少,有基础可看懂。-ADRC algorithm, easy to understand, comments somewhat less, there is a basis can be read.
  3. 所属分类:Communication

    • 发布日期:2017-03-30
    • 文件大小:1093
    • 提供者:huang
  1. KillerSeries

    0下载:
  2. 台湾新锐作家九把刀经典作品 一部讲述杀手的作品 一部让你认识看到不一样人性的作品-Killer Series
  3. 所属分类:Communication

    • 发布日期:2017-04-05
    • 文件大小:381461
    • 提供者:shenshe
  1. BlueZ

    0下载:
  2. 基于BlueZ的C语言蓝牙编程.txt 连接蓝牙设备-The C language based on the BlueZ Bluetooth programming. Txt
  3. 所属分类:Communication

    • 发布日期:2017-03-26
    • 文件大小:3464
    • 提供者:六度空间
  1. bluetooth

    0下载:
  2. 使用J2ME搜索蓝牙设备,并与搜到的设备通信.txt-Search for Bluetooth devices using the J2ME, and seized the equipment and communications. Txt
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:2357
    • 提供者:六度空间
  1. wdtDbgrideh

    0下载:
  2. 如何使用控件dbgrid,具体的使用方法和技巧说明-how to use dbgridhow to user dbgrid how to user dbgrid how to user dbgrid
  3. 所属分类:Communication

    • 发布日期:2017-04-02
    • 文件大小:10842
    • 提供者:王先生
  1. posonye

    0下载:
  2. posonye simple算法直角坐标实施-simple
  3. 所属分类:Communication

    • 发布日期:2017-04-05
    • 文件大小:1742
    • 提供者:niuxiao
  1. 15-04-0505-04-004a-LOS_1000MHz

    0下载:
  2. UWB Impulses responses LOS I-UWB Impulses responses LOS IEEE
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:9817
    • 提供者:cassioli
  1. 15-04-0505-04-004a-NLOS_1000MHz_HK

    0下载:
  2. UWB Impulses responses ieee NLOS
  3. 所属分类:Communication

    • 发布日期:2017-04-17
    • 文件大小:61836
    • 提供者:cassioli
  1. 15-04-0505-04-004a-NLOS_1000MHz_TM

    0下载:
  2. UWB Impulses responses sub-GHZ
  3. 所属分类:Communication

    • 发布日期:2017-04-16
    • 文件大小:45742
    • 提供者:cassioli
  1. targ_term_vhdl

    0下载:
  2. standard exemple of fpga compounent
  3. 所属分类:Communication

    • 发布日期:2017-04-08
    • 文件大小:36121
    • 提供者:sahbi
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 39 »
搜珍网 www.dssz.com