CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 其它文档 搜索资源 - 3.2.0

搜索资源列表

  1. Java实验报告

    0下载:
  2. 1. 通过学习,使大家熟练掌握JAVA的安装,配置及其运行环境。 2. 初步掌握如何连接JAVA和数据库。 3.从网络上采集至10万个数据包分别存入TXT文件和数据库中。 4.完成协议统计、包长统计。 5.实现数据包流量的时间变化(10分钟为单位)直观的统计图。
  3. 所属分类:其它文档

    • 发布日期:2014-01-17
    • 文件大小:54707
    • 提供者:gaoliang
  1. PCI_Local_Bus_Specification_Revision_3.0

    0下载:
  2. PCI Local Bus Specification Revision 3.0 电子书籍,2.0,2.3都很好找,3.0比较难找.希望对大家有用.
  3. 所属分类:其它文档

    • 发布日期:2015-06-13
    • 文件大小:2715724
    • 提供者:金颂扬
  1. cognitive_uwb_ieee_paper

    0下载:
  2. 1.Ultra-wideband interference mitigation using cross-layer cognitive radio 2.Time-varying interference spectral analysis for Cognitive UWB networks 3.Cosine Modulated Filterbank Technique for Cognitive UWB 4.Coexistence Issues in Cognitive R
  3. 所属分类:其它文档

    • 发布日期:2015-06-13
    • 文件大小:3468396
    • 提供者:常春
  1. 防火墙与入侵检测课程设计

    2下载:
  2. 目录 1 引言... 1 1.1      防火墙的基本工作原理... 1 1.1.1 防火墙技术分类... 1 1.1.2 包过滤防火墙... 1 1.1.3应用网关防火墙... 2 1.1.4 状态检测防火墙... 2 1.2 入侵检测系统原理... 2 1.2.1 按入侵检测的主要技术分类... 3 1.2.2 按入侵检测系统的数据来源分类... 3 2 本系统的网络拓扑图及IP地址规划... 4 2.1 网络拓扑图.
  3. 所属分类:其它文档

    • 发布日期:2009-01-16
    • 文件大小:653824
    • 提供者:aideyongheng
  1. 美味西瓜挑選撇步

    0下载:
  2. 夏日最物美價廉又鮮甜多汁的水果,大概非西瓜莫屬,酷熱裡來片冰西瓜,更是令人暑氣全消。西瓜每年4月從南部先採收,到6、7月時更是幾乎全台都有,產量達到最大。然而,西瓜的體積大,小西瓜吃個2、3天、大西瓜可能要吃上一周,如果買到不好吃的西瓜,可是浪費錢又令人氣惱,我們就請專家來教大家,適用每種西瓜挑選的通則吧! 挑對了西瓜,就能幸福的大快朵頤!
  3. 所属分类:其它文档

    • 发布日期:2010-09-23
    • 文件大小:159744
    • 提供者:mioosk
  1. Tesis DYNAware--基于Matlab/Simulink的车辆动力学实时仿真模型

    1下载:
  2. Tesis DYNAware--基于Matlab/Simulink的车辆动力学实时仿真模型   在过去的二十年里,电子设备在汽车工业得到广泛应用,今天的汽车已经进入了电子技术的时代.汽车电子的发展对将来汽车的各种性能如安全性、操纵性、舒适性提出了更高的要求.为了加速包括硬件和软件在内的控制系统的开发设计,一些成熟的仿真测试、快速原型方法和工具是必不可少的.   TESIS DYNAware发布了R3.3.2的新版本。包括发动机物理学的enDYNA Themos模块,为现代发动机ECU测试量
  3. 所属分类:其它文档

    • 发布日期:2010-10-12
    • 文件大小:277320
    • 提供者:ddxinrui
  1. 上海市城域网技术实施方案

    0下载:
  2. 目 录 1. 网络结构设计 1 1.1. 城域骨干网B平面组网结构 1 1.2. 宽带接入网组网方案 2 1.2.1. 市区关键业务子网 3 1.2.2. 郊区关键业务子网 3 2. 路由设计 5 2.1. 总体路由策略 5 2.1.1. 城域网B平面设立私有AS,与CN2及CHINANET不直连(MPLS VPN 可以直接连接CN2 SR) 6 2.2. IGP方案 7 2.3. BGP方案 8 2.4. MPLS VPN的CE-PE路由设计 10 3. L3 MPLS
  3. 所属分类:其它文档

    • 发布日期:2010-10-21
    • 文件大小:3515472
    • 提供者:lm197801
  1. 关于网络号及主机号的计算方法

    0下载:
  2. 1)将IP地址和子网掩码分别换算成二进制 2)将二者进行与运算 3)将运算结果换算成十进制,这就是网络地址。 网络程序员中关于子网划分的问题
  3. 所属分类:其它文档

    • 发布日期:2010-10-24
    • 文件大小:26112
    • 提供者:zhjy_2010
  1. net命令详解

    0下载:
  2. net命令详解;(1)NET命令是一个命令行命令。 (2)管理网络环境、服务、用户、登陆。。。。等本地信息 (3)WIN 98,WIN WORKSTATION和WIN NT都内置了NET命令。
  3. 所属分类:其它文档

    • 发布日期:2010-10-26
    • 文件大小:6298
    • 提供者:adsfdaffallk
  1. 直接卷积

    0下载:
  2. title('xn'); subplot(2,2,2) plot(yn); title('直接卷积'); subplot(2,2,3) plot(yn1); title('filter函数'); subplot(2,2,4) plot(y3); title('iir计算');
  3. 所属分类:其它文档

    • 发布日期:2010-12-16
    • 文件大小:61952
    • 提供者:zhj891102
  1. PSS

    0下载:
  2. 西门子PSS/E英文教程 1、PSS"E Overview 2、The User Interface 3、Managing Power Flow Data 4、Power Flow 5、Contingency Analysis 6、Transmission Transfer Limit Analysis 7、Short-Circuit Fault Analysis 8、Balanced Switching 9、Equivalent (Reduced) Networks 10、Open Acces
  3. 所属分类:其它文档

    • 发布日期:2011-01-01
    • 文件大小:12115502
    • 提供者:fangqib@163.com
  1. Cortex-M3技术参考手册(中文)

    0下载:
  2. 本手册按以下章节组织: 第 1 章概述 本章描述了 Cortex-M3 处理器的组件以及处理器的指令集。 第 2 章编程模型(programmer’s model) 本章描述了 Cortex-M3 的寄存器集,工作模式,和其它与Cortex-M3 处理器的编程相关 的信息。 第 3 章系统控制 本章描述了系统控制的寄存器和编程模型。 第 4 章存储器映射 本章描述了处理器映射和 bit-banding 特性。 第 5 章异常 本章描述了处理器的异常。 第 6 章时钟与复位 本章描述了处理器的时钟
  3. 所属分类:其它文档

  1. PBOC2.0-20080924-01-电子钱包电子存折应用卡片规范

    1下载:
  2. JR/T 0025《中国金融集成电路(IC)卡规范》分为13个部分: ――第1部分:电子钱包/电子存折应用卡片规范; ――第2部分:电子钱包/电子存折应用规范; ――第3部分:与应用无关的IC卡与终端接口规范; ――第4部分:借记/贷记应用规范; ――第5部分:借记/贷记应用卡片规范; ――第6部分:借记/贷记应用终端规范; ――第7部分:借记/贷记应用安全规范; ――第8部分:与应用无关的非接触式规范; ――第9部分:电子钱包扩展应用指南; ――第10部分:借记/贷记应用个人化指南; ——第1
  3. 所属分类:其它文档

  1. PBOC2.0-20080924-02-电子钱包电子存折应用规范

    1下载:
  2. JR/T 0025《中国金融集成电路(IC)卡规范》分为13个部分: ――第1 部分:电子钱包/电子存折应用卡片规范; ――第2 部分:电子钱包/电子存折应用规范; ――第3 部分:与应用无关的IC 卡与终端接口规范; ――第4 部分:借记/贷记应用规范; ――第5 部分:借记/贷记应用卡片规范; ――第6 部分:借记/贷记应用终端规范; ――第7 部分:借记/贷记应用安全规范; ――第8 部分:与应用无关的非接触式规范; ――第9 部分:电子钱包扩展应用指南; ――第10 部分:借记/贷记应用
  3. 所属分类:其它文档

  1. 数字图像处理

    0下载:
  2. 一.实验目的及要求 1.了解MATLAB的操作环境和基本功能。 2.掌握MATLAB中图像增强与平滑的函数的使用方法。 3.加深理解图像增强与平滑的算法原理。
  3. 所属分类:其它文档

  1. 非支配排序遗传算法

    0下载:
  2. NSGA-II 相对于NSGA而言,NSGA—II具有以下优点:1)提出新的基于分级 的快速非胜出排序算法,将计算复杂度由 降到 ,其中: 表示目标函数的数目, 表示种群中个体的数目;2)为了标定分级快速非胜出排序后同级中不同元素的适值,也为使准 域中的元素能扩展到整个 域,并尽可能均匀遍布,文献[7]提出了拥挤距离的概念,采用拥挤距离比较算子代替需要计算复杂的共享参数的适值共享方法;3)引入了保优机制,扩大了采样空间,经选择后参加繁殖的个体所产生的后代同其父代个体共同竞争来产生下一代种群,因
  3. 所属分类:其它文档

  1. Windows XP 单网卡用花生壳实现VPN

    0下载:
  2. 作为一个中小企业,随着互联网络发展越来越迅速对于SOHU式办公要求也越来越高,那么远程访问总部数据,实现软件运行就必须用到VPN等相关技术,那么 为了让大家更好的节约成本,实现这一功能,本人现在将相关的VPN组建方式,完整的奉献给大家,希望能为你的企业带来作用和利益! 需求:1.出差用户想通过拨号网络直接连接公司整个局域网及服务器 2.网管或经理想在家或其他场所(非公司),运行公司才能运行的数据库类软件 3.实现监控系统远程查看(部分监控系统带局域网功能)
  3. 所属分类:其它文档

  1. 文件管理功能

    0下载:
  2. 1、文件管理功能:打开、保存、增加图层(shp、lyr)、增加CAD图层、删除图层、退出 2、视图显示功能:放大、缩小、漫游等 3、增加图元:增加点、线、面、文本图元 4、查询:实现由属性至图形的查询,以及由图形至属性的查询。,y tut g iykulk jkygfkjuoyu r6ti7j
  3. 所属分类:其它文档

    • 发布日期:2017-03-29
    • 文件大小:18040
    • 提供者:黄雪文
  1. 潜龙出击 通达信指标

    1下载:
  2. {N=26} STICKLINE(50,50,-50,10,0),COLORFFB900,LINETHICK7; RSVP:=(C-LLV(L,30))/(HHV(H,30)-LLV(L,30))*100-50; KV:=SMA(RSVP,3,1); DV:=SMA(KV,3,1); JV:=3*KV-2*DV; ZDZK1:=EMA(JV,6); ZDZK2:=REF(ZDZK1,1); XW1:=IF(O<=REF(O,1),0,MAX((H-O),(O-
  3. 所属分类:其它文档

  1. IEEE738

    0下载:
  2. IEEE Std 738-2006 Front Cover Introduction Notice to users Participants CONTENTS IEEE Standard for Calculating the Current-Temperature of Bare Overhead Conductors 1. Overview 1.1 Scope 1.2 Disclaimer 2. Definitions 3. Temperature calculatio
  3. 所属分类:其它文档

« 12 »
搜珍网 www.dssz.com