CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 七人表决器

搜索资源列表

  1. select7

    0下载:
  2. VHDL七人表决器免费为大家服务-VHDL seven people to vote for you for free!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:36323
    • 提供者:man
  1. seven

    0下载:
  2. seven.vhd 七人表决器VHDL源码 七人表决器.doc 程序说明-seven.vhd seven votes for VHDL source code for seven votes. A descr iption of the procedures for doc
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4415
    • 提供者:杨奎元
  1. vote7-2

    1下载:
  2. 七人表决器 在表决的过程中 多于四个通过 少于四个不通过
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1014
    • 提供者:duzhifu
  1. voterandcounter

    0下载:
  2. 用VHDL写的源代码程序,包涵三人表决器,七人表决器,全加器以及模24,模60的计数器,都是单文件的,由于程序小又多,所以集中在一起,供新学习VHDL语言的朋友们参考。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2174
    • 提供者:韩笑
  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. 4选1数据选择器设计

    0下载:
  2. 3-8译码器设计 4选1数据选择器设计 4位比较器设计 七人表决器设计 计数器设计 交通灯信号控制器设计,3-8 Decoder 4 election to choose a data compared Design 4 Design Design a vote of seven traffic lights signal counter design controller design
  3. 所属分类:软件工程

    • 发布日期:2017-03-22
    • 文件大小:233859
    • 提供者:宋立泉
  1. seven

    0下载:
  2. 这是我在ISP编程实验中独立编写的采用结构化描述的一个七人表决器,通过独特的3次映射一位全加器的方法从而实现七人表决器的功能,与网络上任何其他的七人表决器源码决无雷同。-This is my ISP programming in an independent experiment using a structured, prepared as described in a seven-member voting machine, through a unique 3 times a full a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:84870
    • 提供者:daisichong
  1. bhgfdti

    0下载:
  2. 含有七人表决器,格雷码变换电路,英文字符显示电路,基本触发器(D和JK),74LS160计数器功能模块,步长可变的加减计数器-Containing seven people vote, and Gray code conversion circuit, the English characters display circuit, the basic flip-flop (D and JK), 74LS160 counter function modules, variable-step add
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:423791
    • 提供者:俞皓尹
  1. seven_vote

    0下载:
  2. 这是一个七人表决器,顾名思意就是适用于7个人的表决器,当有4个人以上赞成就会响-this is a vote from seven people
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:128070
    • 提供者:梁永安
  1. 7

    0下载:
  2. 简单的七人表决器,用c语言写的,下载到单片机可实现其功能。-Seven simple voting machine, using c language, and can be downloaded to a single chip to achieve its function.
  3. 所属分类:CSharp

    • 发布日期:2017-04-17
    • 文件大小:104887
    • 提供者:
  1. seven_people

    0下载:
  2. 七人表决器。有七个输入口,以多数胜于少数的结果进行表决-Seven voting machine. There are seven input to the majority of the results is better than a small number of voting
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:101330
    • 提供者:庄岚
  1. judge7

    0下载:
  2. 实用七人表决器源码,可直接到max+plus2上验证。-Useful source of seven voting machines can be directly to the max+ plus2 to validate.
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:1482
    • 提供者:chenx
  1. 7renbiaojueqi

    0下载:
  2. VHDL实现的一个七人表决器源程序,当人数多于四人时表决通过-VHDL implementation of a seven-member voting machine source code, when the number of people vote more than four hours
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:221589
    • 提供者:tangchengjiang
  1. LAB5

    0下载:
  2. 七人表决器 七个按键,若按下个数多于三个,表示通过,LED点亮-Seven voting machines seven keys, press the number if more than three, said that through, LED lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:622650
    • 提供者:wonder
  1. biaojue

    0下载:
  2. VHDL编写的七人表决器,有做课程设计的有福了-Written in VHDL seven voting machine, there are so blessed Oh curriculum design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:208650
    • 提供者:龙刚
  1. biaojueqi

    0下载:
  2. 七人表决器 当同意人数大于等于4时,投票通过。-Seven voting machines when the agreed number of greater than or equal 4, vote.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:53878
    • 提供者:wangzexiang
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. vote

    0下载:
  2. 此程序是七人表决器,代码中运用了case和IF这两种语句,可凭个人自由选用!-This program is a vote of seven, code in use of the case and the two IF statements, present their selection of individual freedom!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:96157
    • 提供者:美味男孩
  1. vote

    0下载:
  2. 当表决器的七个输入变量中有4个以上(含4个)为“1”时,则表决器输出为“1”;否则为“0”。分析七人表决器全加结果CBA(从高位到低位)中的八种情况:000-111,输出为“1”的量为100-111, 根据这种真值表用卡诺图化简可得出最简逻辑表达示为OUT=C,即全加结果最高位决定了结果。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:655140
    • 提供者:落晨
  1. qirenbiaojueqi

    0下载:
  2. 七人表决器,模拟评委表决,选择或者淘汰选手,大家可以看一下,能看懂的话可以修改人数哦。-Seven voting machines, analog judges vote choice or out of players, we can look at, to understand, then you can modify the number of oh.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:274860
    • 提供者:andy
« 12 3 »
搜珍网 www.dssz.com