CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 三角波 vhdl

搜索资源列表

  1. VHDL

    0下载:
  2. 此代码可产生正弦波、三角波、正斜率拨、负斜率波波、矩形波五种波形
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:1678
    • 提供者:刘三平
  1. 波形发生器VHDL语言

    0下载:
  2. 能产生正弦波,三角波,方波,阶梯波,并且频率可调..
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-03-25
    • 文件大小:417860
    • 提供者:519201374
  1. learn_dds.基于quartus ii 9.0的简易dds波形发生器

    2下载:
  2. 基于quartus ii 9.0的简易dds波形发生器,可以产生正弦,方波,三角波,可变幅,可变频。非常适合学习使用,使用时请按自己的芯片和引脚设置,Quartus ii 9.0 Based on dds simple waveform generator can produce sine, square, triangle wave can be amplitude, frequency can be. Very suitable for learning to use, when used
  3. 所属分类:VHDL编程

    • 发布日期:2016-04-29
    • 文件大小:732127
    • 提供者:陈东旭
  1. wave.rar

    0下载:
  2. 本程序采用的VHDL语言,分别实现:递增锯齿波递减锯齿波 三角波 阶梯波 方波正弦波 数据选择器.,This procedure used in VHDL language, respectively, to achieve: increased sawtooth ladder descending sawtooth wave square wave triangle wave sine wave data selector.
  3. 所属分类:Graph Drawing

    • 发布日期:2017-04-01
    • 文件大小:1369
    • 提供者:程冬水
  1. DDS.rar

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:312334
    • 提供者:
  1. DAC.rar

    0下载:
  2. 信号发生器 控制DAC输出最高100M方波、三角波、正弦波,function generator
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:914453
    • 提供者:wpc
  1. ddfs.rar

    0下载:
  2. 基本FPGA的DDS信号发生器,可产生1-1MHZ任意频率的三角波,方波,锯齿波,正弦波,Basic FPGA-DDS signal generator, can produce 1-1MHZ arbitrary frequency triangle wave, square wave, sawtooth, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1373660
    • 提供者:吴宏伟
  1. DDS

    0下载:
  2. 基于quartus的DDS,可以发生正弦波,方波,三角波,附带了顶层文件,注释在程序中-Quartus on the DDS, can occur sine wave, square wave, triangle wave, with the top-level documents, notes in the procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:77667
    • 提供者:ivan
  1. wavegenerator

    0下载:
  2. 开发环境为QuartusII,能产生正弦波、三角波、方波和锯齿波,幅度为5V,采样为8位,在开发板已经验证通过,有详细的波形图和管脚分配图。-Development environment for QuartusII, can generate sine wave, triangle wave, square wave and sawtooth wave, ranging from 5V, sampling for 8, in the development board has to verif
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:498394
    • 提供者:李海明
  1. dds_vhdl

    0下载:
  2. dds的vhdl实现,主要包括正弦波、三角波和锯齿波的产生-dds achieve the VHDL, including sine, triangle wave, and the selection ramp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:785
    • 提供者:xxx
  1. Triangle_Wave_generater

    0下载:
  2. 采用vhdl语言编程,基于quartus平台的三角波仿真。-Using VHDL language programming, based on the Quartus triangular wave simulation platform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:2029282
    • 提供者:苏苏
  1. sanjiaobo

    0下载:
  2. 利用计数器来实现三角波的程序设计任务,用于信号发生器-Use counters to achieve the triangular wave of program design tasks, for the signal generator
  3. 所属分类:Algorithm

    • 发布日期:2017-03-29
    • 文件大小:16472
    • 提供者:小草
  1. bxfsq

    0下载:
  2. 波形发生器的代码,具有产生正弦波、方波、三角波的功能。-Waveform Generator code has generated sine wave, square, triangle-wave function.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:16831
    • 提供者:李仁刚
  1. signal_generator

    0下载:
  2. 基于vhdl的多功能函数信号发生器的设计,能实现三角波、方波、正弦波。-VHDL-based multi-function signal generator design, can achieve the triangular wave, square wave, sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:784
    • 提供者:tony
  1. wave_generator

    0下载:
  2. 基于cycloneII的信号发生器,产生正弦波、方波、三角波,人机界面十分友好,可方便地进行波形切换-CycloneII based on the signal generator to produce sine wave, square wave, triangle wave, a very friendly man-machine interface can be easily switched waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1429278
    • 提供者:henry
  1. FPGAboxin

    0下载:
  2. FPGA实现波形产生模块能产生正弦,方波,锯齿,三角波的产生,频率可调-fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:257647
    • 提供者:李可
  1. vhld_fpga_box

    0下载:
  2. Verilog 编写的波形发生器,可发生正弦波,三角波,方波,可以调频-Prepared Verilog waveform generator, can occur sine, triangle wave, square wave, you can FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267223
    • 提供者:ivan
  1. VHDL

    0下载:
  2. 利用VHDL实现任意函数发生器,包括方波、正弦波、三角波等。-The use of VHDL to achieve arbitrary function generator, including square, sine wave, triangle wave and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:40212
    • 提供者:陈海巍
  1. SIGNAL_GEN

    0下载:
  2. 利用EDA的VHDL硬件描述语言设计的函数信号发生器,可以产生递增、递减斜波,三角波,阶梯波,正弦波,方波-The use of EDA, VHDL hardware descr iption language design function of the signal generator can generate increased progressively decreasing ramp, triangle wave, step-wave, sine wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:518701
    • 提供者:心心
  1. sanjiao

    0下载:
  2. 使用VHDL编写三角波输出,其中DA使用的是DAC902,一个12位的DA转换芯片(Use VHDL to write triangle wave output, including DA using DAC902, a 12 DA conversion chip)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:285696
    • 提供者:gemini48
« 12 3 4 5 »
搜珍网 www.dssz.com