CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 串行输入并行输出 VHDL

搜索资源列表

  1. hh.rar

    0下载:
  2. 串行输入并行输出 用vhdl语言描述的 有源代码主打色,Serial input parallel output using vhdl language to describe the main color of the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:572
    • 提供者:吴越
  1. ps

    0下载:
  2. VHDL语言编写的串并转换模块的源代码,用来将并行输入数据转换为串行数据输出-code for the transform of ps
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1475
    • 提供者:李明
  1. PiSo

    0下载:
  2. 8位并行输入的数转换成串行输出,是基于高级硬件编程语言VHDL编写的。-8-bit parallel input into serial output digital conversion is based on the high-level hardware programming language VHDL prepared.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:196008
    • 提供者:zhangzhen
  1. chuanbingvhdl

    0下载:
  2. 由于计算机中大部分器件使用的是串行,本程序实现了数字电路中常用的串行输入并行输出的功能。-Because most of the computer using a serial device, the program realization of digital circuits used in serial input parallel output function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1352
    • 提供者:yifang
  1. BFL_Encode

    0下载:
  2. 将宽度为width位的并行输入数据按BiΦ-L码(曼彻斯特码)方式进行编码后串行输出,输出数据的宽度为(2*width),BiΦ-L码是PCM码的一种,常用的PCM编码方式有:NRZ-L,BiΦ-L和BiΦ-M三种-The width of the parallel-bit width input data by BiΦ-L code (Manchester code) way encoded serial output, the output data width (2* width), Bi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1144
    • 提供者:贺明辉
  1. shiftregister_32

    0下载:
  2. 长度为8的32bit串入并出移位寄存器,它的功能就是对32bit的并行信号作串行输入,并行输出处理-Length of 8 for 32bit serial in parallel out shift register
  3. 所属分类:GIS program

    • 发布日期:2017-04-05
    • 文件大小:805
    • 提供者:林伟
  1. dds

    0下载:
  2. 块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据dds频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。 -In the programming step, the electronic
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:4849
    • 提供者:李彦伟
  1. sipo_reg5

    0下载:
  2. VHDL语言描述具有同步清零的5位串行输入并行输出移位寄存器代码-VHDL language to describe the clearing of 5 with synchronous serial input parallel output shift register code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:525
    • 提供者:zzz_ali
  1. SHIFT8

    0下载:
  2. 基于FPGA的串行输入并行输出寄存器的设计,QuartusII编译通过,采用VHDL语言编写。-Based on FPGA serial input parallel output the design of the register, QuartusII compile, USES the VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:224385
    • 提供者:左云华
  1. no1

    0下载:
  2. VHDL做的16位并行输入转16同步串行输出-VHDL to do 16-bit parallel input to 16 synchronous serial output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:2988
    • 提供者:
  1. Serial-input--parallel-output

    0下载:
  2. 关于VHDL的一个问题。串行输入64位二进制数,要求把数据按每8位存在8个寄存器中并行输出-A question about the VHDL. Serial input 64-bit binary number is required for every eight data registers the presence of eight parallel outputs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:959
    • 提供者:
  1. TDM

    0下载:
  2. 利用时分复用技术将并行输入的四路八位二进制码的信号变成一路信号串行输出(TDM digital multiplex VHDL)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:14706688
    • 提供者:love*lh
搜珍网 www.dssz.com