CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 伪随机序列 Verilog

搜索资源列表

  1. lfsr6s3

    0下载:
  2. 线性反馈移位寄存器Verilog源程序,能够产生伪随机序列
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:1844
    • 提供者:帅哥
  1. 伪随机序列

    0下载:
  2. 线形反馈移位寄存器(LFSR)是数字系统中一个重要的结构,本程序可以自动产生AHDL,VHDL,Verilog的源代码及电路原理图。程序可以运行在win98/2000/NT平台-linear feedback shift register (LFSR) digital system is an important structure, the process can be automatically generated AHDL, VHDL, Verilog source code and ci
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:163107
    • 提供者:夏沫
  1. 实用verilog代码(乘法器,触发器,FIFO等)

    3下载:
  2. 本文件包含一些实用verilog程序代码,包括乘法器,除法器,伽罗瓦域乘法器,CORDIC数字计算机的设计,异步FIFO设计,伪随机序列应用设计,RS(204,188)译码器的设计,都是可综合的。对研究这部分的朋友有一定的帮助。
  3. 所属分类:源码下载

    • 发布日期:2010-12-19
    • 文件大小:28867
    • 提供者:zhanxin0319
  1. PN_Generator.rar

    0下载:
  2. 用Verilog编写的一个简单的产生伪随机序列的代码(m序列),比较实用。,Verilog prepared with the emergence of a simple pseudo-random code sequence (m sequence), more practical.
  3. 所属分类:matlab例程

    • 发布日期:2017-03-23
    • 文件大小:117177
    • 提供者:龚阳
  1. Verilog_code_for_AWGN.rar

    1下载:
  2. verilog实现awgn信道噪声的代码,支持可变的信噪比。利用移位寄存器来实现伪随机序列。,verilog code for implementation of awgn channel noise. support variable snr. use LSFR to implement the pseudo random sequence.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-08
    • 文件大小:10555272
    • 提供者:xiejin
  1. lfsr

    0下载:
  2. 此实验介绍了伪随机序列的产生原理,并用verilog语言将其编码实现,有详细的代码备注-This experiment introduces the principle of pseudo-random sequence and its encoded with the verilog language implementation, a detailed code Notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:76837
    • 提供者:飞扬奇迹
  1. lfsr

    0下载:
  2. 伪随机序列产生器-线性反馈移位寄存器,Verilog HDL 原代码。-Pseudo-random sequence generator- linear feedback shift register, Verilog HDL source code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-22
    • 文件大小:883
    • 提供者:李辛
  1. LFSR

    1下载:
  2. verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear feedback shift register (LFSR) mo
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-23
    • 文件大小:870339
    • 提供者:风影
  1. c21_pn_code_generator

    0下载:
  2. 精通verilog HDL语言编程源码之7——伪随机序列应用设计-Proficient in programming language source verilog HDL of 7- the application of pseudo-random sequence design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1193
    • 提供者:李平
  1. fcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1403
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-filtered 代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator-filtered on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2054
    • 提供者:李辛
  1. prbsforip

    0下载:
  2. 本文设计了一种简捷而又高效的伪随机序列产生方法,最后通过统计对比,说名这种方法产生的随机序列不仅周期长 还具有两好的随机特性-This paper designed a simple and efficient method for the selection of pseudo-random sequence, and finally through statistical comparison, saying that this method of random sequence gen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:268622
    • 提供者:5656
  1. Application_of_pseudo_random_sequence_verilog_desi

    0下载:
  2. 伪随机序列应用verilog设计.rar-Application of pseudo-random sequence verilog design.rar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1044
    • 提供者:海天之洲
  1. prs8

    0下载:
  2. 伪随机序列verilog 以及 测试程序-Pseudo-random sequence verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:1144
    • 提供者:于玮
  1. prbs

    0下载:
  2. 伪随机二进制序列发生器的Verilog源码,带测试文件,并在FPGA开发板上成功验证-Pseudo-random binary sequence generator Verilog source code, with a test file, and successfully verified in FPGA development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:50491392
    • 提供者:wang
  1. Verilog

    0下载:
  2. 本程序使用verilog语言实现了对伪随机序列的曼彻斯特编码-This program uses the verilog language to achieve the Manchester encoding of the pseudo-random sequence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:460435
    • 提供者:许鑫
  1. pncode

    0下载:
  2. verilog hdl编写的伪随机序列产生程序;包含测试文件;-Verilog HDL;PN code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:943
    • 提供者:yy
  1. randomization

    0下载:
  2. 伪随机序列应用设计:利用verilog代码实现伪随机信号的产生-Pseudo-random sequence application design: the use of pseudo-random signals verilog code generation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:953
    • 提供者:祖兴水
  1. m_sequence_fpga

    0下载:
  2. 采用Verilog语言编写的伪随机序列——m序列,可用作通信系统输入数据源。-Use Verilog language- m sequence pseudo random sequence, and can be used as input data sources in communication system.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:387680
    • 提供者:qiaofeng
  1. 20180125_5M_01

    0下载:
  2. 基于verilog产生伪随机二进制序列,序列速率为5M(A pseudo-random binary sequence based on verilog.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:4717568
    • 提供者:沿途ing
« 12 »
搜珍网 www.dssz.com