CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 加扰

搜索资源列表

  1. DiSturb_Code

    0下载:
  2. Matlab加扰解扰仿真系统,可以观察加解扰前后信号的时域和频域波形,有助于理解扰码的概念和解扰的过程-scrambling and de-scrambling Matlab simulation system can be observed around Deinterference signals in time domain and frequency domain waveforms scrambler help understand the concept of the process
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:6450
    • 提供者:李向坤
  1. xinyi

    0下载:
  2. ·Matlab加扰解扰仿真系统,可以观察加解扰前后信号的时域和频域波形,有助于理解扰码的概念和解扰的过程-scrambling and de-scrambling Matlab simulation system Encryption can be observed around the signals in time domain and frequency domain waveforms scrambler help understand the concept of the proces
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:7128
    • 提供者:庞俊杰
  1. CAencryption

    0下载:
  2. 用于调条件接收的加密,加扰算法 数字电视应用,同密,多密-reception conditions for the transfer of encryption, scrambling algorithm for digital television applications, with the secret, multi-secret
  3. 所属分类:IP电话/视频会议

    • 发布日期:2008-10-13
    • 文件大小:111865
    • 提供者:柏竹
  1. SC-DSC

    2下载:
  2. 数字通信系统的设计及其性能和所传输的数字信号的统计特性有关。所谓 加扰技术,就是不增加多余度而扰乱信号,改变数字信号的统计特性,使其近 似于白噪声统计特性的一种技术。这种技术的基础是建立在反馈移位寄存器序 列(伪随机序列)理论之上的。解扰是加扰的逆过程,恢复原始的数字信号。 如果数字信号具有周期性,则信号频谱为离散的谱线,由于电路的非线 性,在多路通信系统中,这些谱线对相邻信道的信号造成串扰。而短周期信号 经过扰码器后,周期序列变长,谱线频率变低,产生的非线性分量落入相邻信 道之外,因此干扰
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:113625
    • 提供者:葛岭泉
  1. csa

    1下载:
  2. CSA() 加扰解扰算法(DVB-C中用到)。内有实现源码
  3. 所属分类:CA认证

    • 发布日期:2008-10-13
    • 文件大小:528366
    • 提供者:keshine
  1. VIDEOdisturber

    1下载:
  2. 视频加扰器源代码,用于电视信号收费系统加扰
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:8786
    • 提供者:张建福
  1. scramble_code

    3下载:
  2. 扰码的产生MATLAB程序。用于移动通信等领域的扩频加扰,区分用户。
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:853
    • 提供者:冯兴乐
  1. scrambler

    0下载:
  2. 通信系统中的加扰与解扰程序,用verilog语言实现,有波形文件可以直接查看功能
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-18
    • 文件大小:324063
    • 提供者:桃子
  1. DVB-CA_System

    0下载:
  2. DVB数字电视CA系统,关于加扰和解扰部分的。
  3. 所属分类:流媒体/Mpeg4

    • 发布日期:2008-10-13
    • 文件大小:280872
    • 提供者:钟平
  1. scramble.rar

    1下载:
  2. 通信用加扰码VHDL电路,解决光传输过程中的连零和连一码的出现。,Communication scrambling circuit VHDL Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:911
    • 提供者:江山
  1. v-blast(qpsk)

    1下载:
  2. lte中下行信号经过加扰、调制、层映射、预编码以及资源映射中的关于调制的QPSK的MATLAB代码-lte in the downlink signal through the scrambling, modulation, layer mapping, coding and mapping resources on the MATLAB code for QPSK modulation
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:66494
    • 提供者:侯彦庄
  1. scramble

    0下载:
  2. CDMA2000,加扰,输入768个信息比特,经过带有用户掩码的长码加扰后,输出768个信息比特-scramble
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-30
    • 文件大小:162922
    • 提供者:alice
  1. mxuliejiarao

    0下载:
  2. 产生一m序列,其特征多相式:1+x^3+x^5,加扰序列c(k) 满足c(k)=a(k)+c(k-3)+c(k-5),对m序列进行加解扰-Create a m sequence is characterized by multi-phase type: 1+ x ^ 3+ x ^ 5, scrambling sequence c (k) to meet the c (k) = a (k)+ c (k-3)+ c (k-5), the m sequence Deinterference
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:542
    • 提供者:xlmm
  1. 802.11a

    1下载:
  2. 802.11a程序的发端,包括加扰码,编码,交织,组帧等。-802.11a plogram , the transimit
  3. 所属分类:matlab

    • 发布日期:2017-04-15
    • 文件大小:6871
    • 提供者:hmg
  1. 802.16e

    0下载:
  2. 802.16e,该程序主要是仿真了发端,包括加扰码,编码,调制,FFT逆变换等。-802.16e, the program mainly simulate the transimte
  3. 所属分类:matlab

    • 发布日期:2017-04-16
    • 文件大小:62725
    • 提供者:hmg
  1. LTEcommonscrambling

    0下载:
  2. 该代码是lte数据传输中的加扰技术的源代码-The code is lte data scrambling technology in source code
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:860
    • 提供者:高 峰
  1. csa_verilog_rtl

    0下载:
  2. CSA加扰算法verilog实现,代码经过fpga验证,可以正确实现该算法。-CSA verilog rtl codeing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:34513
    • 提供者:he
  1. x43+1dec

    5下载:
  2. 简单实现X^43+1 多项式加扰,解扰算法,已验证(the algorithim of X^43+1 scambler and descambler)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:1024
    • 提供者:田雨
  1. 加扰器解扰器设计

    5下载:
  2. 加扰器解扰器设计,组合逻辑电路可以选用下述不同的逻辑类型来实现:互补CMOS结构、有比电路、差 分共源-共栅电压开关逻辑(DCVSL),传输门逻辑、互补传输晶体管逻辑(CPL)或动态电 路结构,也可以是以上不同类型结构的混合。(Scrambler/ descrambler design)
  3. 所属分类:其他

  1. TD-SCDMA系统中扩频加扰的实现

    0下载:
  2. 下行加扰程序,介绍扰码产生及使用。为后续的程序开发、研究打下基础。3G通信典型方式,上行资料较少
  3. 所属分类:技术管理

« 12 3 4 5 6 »
搜珍网 www.dssz.com