CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 十进制数字显示

搜索资源列表

  1. shuzipinlvji

    1下载:
  2. 四位十进制数码显示、量程自动转换的数字频率计。-four decimal digital display, automatic conversion range of frequency meter.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6430
    • 提供者:文文
  1. thefrequencymeterdesign

    0下载:
  2. 简易数字频率计题解.( 1997年 B 题 ) 编写与讲解人:田良(东南大学无线电系,2003年3月12日) 一)任务 设计并制作一台数字显示的简易频率计。 (二)要求 1.基本要求 (1)频率测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测试误差≤0.1% (2)周期测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:356317
    • 提供者:caorui
  1. pinlvji

    0下载:
  2. 频率计VHDL编程。设计一个4位数字显示的十进制频率计,其测量范围为1MHz,测量值通过4个数码管显示以8421BCD码形式输出,可通过开关实现量程控制,量程分10kHz、100kHz、1MHz三档(最大读数分别为9.999kHz、99.99kHz、999.9kHz); 当输入信号的频率大于相应量程时,有溢出显示。 -Cymometer VHDL programming. Design of a 4-digit decimal display frequency, the measure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:90168
    • 提供者:zhangyi
  1. Cymometer_of_four_decimal

    0下载:
  2. 四位十进制数字频率计: 测量范围:1Hz~10kHz; 显示时间不少于1S; 具有记忆显示的功能,即在测量过程中 刷新数据,等结束后才显示测量结果,给出待测信号的频率值,并保存到下一次测量结束。-Four decimal digital frequency meter: measuring range: 1Hz ~ 10kHz show that no less than 1S with memory function showed that the cour
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2730
    • 提供者:
  1. cymomete

    0下载:
  2. 采用测频法设计一个8位十进制数字显示的数字频率计。测量范围1-499999hz。-Frequency measurement method used to design an 8-bit decimal figures show that the digital frequency meter. Measuring range 1-499999hz.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1085
    • 提供者:dong
  1. Jing

    0下载:
  2. 编程从键盘输入一位十进制数字(0~9),在七段数码管上显示出来。-Programming from the keyboard input a decimal number (0 ~ 9), in the seven-segment digital tube display.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:14704
    • 提供者:杨洁
  1. shuzizhongsheji

    0下载:
  2. s1. 所设计数字钟具有“时”、“分”、“秒”的十进制数字显示(小时从00~23)。 2. 可以进行手动校时、校分功能。 3. 能进行整点报时。从59分51秒开始每隔2秒钟连续发出四次低音“嘟。嘟、嘟、嘟”,,最后一次发出高音“嗒”。此信号响起时即达整点。 -you can see see
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:160806
    • 提供者:江畔
  1. shuzipinglvji

    0下载:
  2. 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。-Digital frequency meter is the computer, communications equipment, audio, video and other are
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:225966
    • 提供者:章强
  1. adc804

    0下载:
  2. AD转换+LCD12864显示程序 1 AD转换,二进制转换成十进制 2 显示英文,数字,符号,图片。-AD Converter+ LCD12864 display program 1 AD conversion, the binary converted to decimal 2 shows in English, numbers, symbols, and pictures.
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:60039
    • 提供者:董兵兵
  1. shuzi

    1下载:
  2. 设计一个采用数字电路实现,对时,分,秒.数字显示的计时装置,周期为24小时,显示满刻度为23时59分59秒,并具有校时功能和报时功能的数字电子钟。电路主要采用中规模集成电路.本系统的设计电路由脉冲逻辑电路模块、时钟脉冲模块、时钟译码显示电路模块、整电报时模块、校时模块等部分组成。采用电池作电源,采用低功耗的芯片及液晶显示器,发生器使用石英晶振、计数振荡器CD4060及双D触发器74LS74,计数器采用同步双十进制计数器74LS160,锁存译码器是74LS248,整电报时电路用74LS74,74L
  3. 所属分类:Document

    • 发布日期:2017-03-30
    • 文件大小:449314
    • 提供者:张龙
  1. digital_frequence_counter

    0下载:
  2. 设计功能: 1..用VHDL完成12位十进制数字频率计的设计及仿真。 2.频率测量范围:1Hz∼ 10KHz,分成两个频段,即1∼ 999Hz,1KHz∼ 10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。 3.具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。 4.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 -Design features: 1. . Compl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:22189
    • 提供者:八毛
  1. quartus

    0下载:
  2. 利用拨码开关控制液晶显示器进行十进制数字显示。-DIP switches control the use of liquid crystal display to decimal figures.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:2897
    • 提供者:苏日
  1. EDAshuzipinlvji

    0下载:
  2. 1)能够测量正弦波、三角波、锯齿波、矩形波等周期性信号的频率; 2)能直接用十进制数字显示测得的频率; 3)频率测量范围:1HZ~10KHZ切量程能自动切换; 4)输入信号幅度范围为0.5~5V,要求一起自动适应; 5)测量时间:T〈=1.5S;6)用CPLD/FPGA可编程逻辑器件实现 -1) capable of measuring the frequency of the sine wave, triangle wave, sawtooth wave, rectangular wave p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:882527
    • 提供者:安德森
  1. m60

    0下载:
  2. 数字钟(for DE2 开发板) 1.‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)计时器。 2. 手动校时、校分、校秒的功能。 3.定时与闹钟功能,能在设定的时间发出闹铃声。 4.进行整点报时。从59分50秒起,每隔2秒钟发出一次低音“嘟”的信号,连续5次,最后一次发出高音“嘀”的信号,此信号结束即达到整点。 5、一个秒表,最低位1 秒、60秒,手动停止,手动重置。 6、一个倒计时,显示小时、分钟、秒,可设置时间。 -Decimal digital
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:907955
    • 提供者:dai
  1. 2

    0下载:
  2. (1)设计一个具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)计时器。 (2)具有手动校时、校分的功能。 (3)闹钟功能,能在设定的时间发出提醒(绿色LED灯闪烁)。 (4)能进行整点报时。从59分50秒起,每隔2秒钟绿色LED灯闪一次,连续5次,达到整点时红色LED灯闪一次。 -(1) design a ' when' , ' points' , ' s' decimal digital display (hour timer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:2174
    • 提供者:张三
  1. Digital-Clock

    0下载:
  2. 1.具有‘时’、‘分’、‘秒’、‘毫秒’的数码管十进制数字显示。 2. 具有手动校时、校分的功能。 3.具有定时与闹钟功能,能在设定的时间使LED灯亮光。 4.能进行整点报时。即从59分50秒起,每隔2秒钟绿色LED灯点亮一次,连续5次,最后一次红色LED灯点亮一次,表明到达整点。 5、具有秒表功能,能显示1 秒,手动停止。 6、具有倒计时功能,显示小时、分钟、秒。 -1. With ' when' , ' points' , ' secon
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2235
    • 提供者:wang
  1. zpqm

    0下载:
  2. 频率计,基本设计原理是直接用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量。-Frequency meter, the basic design principle is directly with decimal digital display measured signal frequency of a measuring device.It with the method of measuring cycle of sine wave
  3. 所属分类:CSharp

    • 发布日期:2017-05-01
    • 文件大小:161165
    • 提供者:陈松
  1. matlab数字时钟

    0下载:
  2. matlab数字时钟,设计一个能显示日期、小时、分钟、秒的数字电子钟,并具有整点报时的功能。 由晶振电路产生1HZ标准的信号。分、秒为六十进制计数器,时为二十四进制计数器。 可手动校正时、分时间和日期值。(Matlab digital clock, the design of a display date, hour, minute, second digital electronic clock, and with the whole point timekeeping function. T
  3. 所属分类:通讯编程

    • 发布日期:2017-12-27
    • 文件大小:1436672
    • 提供者:小蛋008
  1. 2061-六位数码管频率计

    1下载:
  2. 本数字频率计将采用定时、计数的方法测量频率,采用一个四位共阴极数码管显示器动态显示6位数。测量范围从1Hz—400kHz的方波、 用单片机实现自动测量功能。 基本设计原理是直接用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量。
  3. 所属分类:其它资源

  1. 6位数频率计

    1下载:
  2. 频率计数器是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 本数字频率计将采用定时、计数的方法测量频率,采用一个四位共阴极数码管显示器动态显示6位数。测量范围从1Hz—400kHz的 方波、 用单片机实现自动测量功能。 基本设计原理是直接用
  3. 所属分类:其它文档

« 12 3 4 5 6 »
搜珍网 www.dssz.com