CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 同步 异步FIFO

搜索资源列表

  1. documentsoffifo

    0下载:
  2. 介绍FIFO的文章,关于同步FIFO或者异步FIFO-FIFO introduced an article on synchronous or asynchronous FIFO FIFO
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:545732
    • 提供者:草草
  1. fifov1

    0下载:
  2. FIFO(先进先出队列)通常用于数据的缓存和用于容纳异步信号的频率或相位的差异。本FIFO的实现是利用 双口RAM 和读写地址产生模块来实现的.FIFO的接口信号包括异步的写时钟(wr_clk)和读时钟(rd_clk)、 与写时钟同步的写有效(wren)和写数据(wr_data) 、与读时钟同步的读有效(rden)和读数据(rd_data) 为了实现正确的读写和避免FIFO的上溢或下溢,给出与读时钟和写时钟分别同步的FIFO的空标志(empty)和 满标志(full)以禁止读写操
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:379609
    • 提供者:lsg
  1. fifo.rar

    1下载:
  2. 异步fifo的经典讲解,包括亚稳态的产生,同步电路的构造,fifo电路的结构,源代码实现。,Asynchronous fifo on the classic, including the emergence of metastable, the structure of synchronous circuits, fifo circuit structure, the source code to achieve.
  3. 所属分类:software engineering

    • 发布日期:2017-05-13
    • 文件大小:3224473
    • 提供者:王玉
  1. shiyan3niu

    1下载:
  2. 1.利用FLEX10KE系列(EPM10K100EQC240-1X)的CLOCKBOOST (symbol:CLKLOCK),设计一个2倍频器,再将该倍频器2分频后输出。 对其进行时序仿真。 2.设计一个数据宽度8bit,深度是16的 同步FIFO(读写用同一时钟),具有EMPTY、FULL输出标志。 要求FIFO的读写时钟频率为20MHz, 将1-16连续写入FIFO,写满后再将其读出来(读空为止)。 仿真上述逻辑的时序,将仿真
  3. 所属分类:Windows编程

    • 发布日期:2012-10-25
    • 文件大小:53721
    • 提供者:李侠
  1. altera_fifo

    0下载:
  2. altera 公司的 FIFO 文档,这是设计同步或异步FIFO的重要文档-altera s FIFO document
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:295342
    • 提供者:liuminghua
  1. asynFifo

    0下载:
  2. 异步fifo在IC设计中,非常重要;是异步时钟域同步方法-Asynchronous fifo in IC design, is very important are asynchronous clock domain synchronization
  3. 所属分类:OS Develop

    • 发布日期:2017-04-11
    • 文件大小:1462
    • 提供者:leng
  1. 0917afifo_s

    0下载:
  2. 采用同步异步信号的方式,将两个CLK统一到同一个时钟下工作,用同步FIFO实现异步FIFO-Asynchronous signals using synchronous way, two a clock CLK to the same uniform to work, using synchronous FIFO Asynchronous FIFO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3010
    • 提供者:范小虎
  1. FIFO

    0下载:
  2. 同步和异步FIFO,VHDL实现。希望对大家有所帮助。-Synchronous and asynchronous FIFO, VHDL implementation. We want to help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:590005
    • 提供者:Jun
  1. fifo-VerilogHDL

    2下载:
  2. 利用VerilogHDL语言编写的同步FIFO,异步FIFO的编写及其注释-VerilogHDL language using synchronous FIFO, asynchronous FIFO, write and comment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4994
    • 提供者:王体奎
  1. fifo

    0下载:
  2. 异步fifo的verilogHDL代码 通过比较读写地址并产生异步空/满标志,再通过把异步空/满标志同步到相应时钟域来实现数据的传递。很好的解决了亚稳态的问题。-code of asynchronous fifo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:17999
    • 提供者:Bruce Lee
  1. FIFO

    0下载:
  2. FPGA内设计同步FIFO和异步FIFO,以及双口RAM的方法,FIFO设计的经验之谈,非常经典。-Synchronous FIFO and asynchronous FIFO, and dual-port RAM within the FPGA design,FIFO design rule of thumb, very classic.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2389369
    • 提供者:peter
  1. fifo-code

    0下载:
  2. Verilog代码:同步\异步FIFO。包含格雷码计数器.-Verilog code: syncronous\asyncourous FIFO. containing gray counter.
  3. 所属分类:Other systems

    • 发布日期:
    • 文件大小:2797
    • 提供者:王文
  1. fifo

    0下载:
  2. 同步fifo和异步fifo程序,含时钟同步。运用格雷码-Synchronous FIFO and asynchronous FIFO FIFO procedures, including clock synchronization. Application of gray code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2293
    • 提供者:zhaohongbing
  1. fifo

    0下载:
  2. FIFO是通过时钟来确定是同步还是异步的,同步FIFO的读写操作是通用一个时钟来控制的。另一方面。两个不同频率或者不同香味的时钟来控制异步FIFO的读写操作。 异步FIFO 跨越时钟域的同步问题-FIFO is determined by the clock is synchronous or asynchronous, synchronous FIFO read and write operations are a common clock control. on the other ha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3537
    • 提供者:Isabelle Cheung
  1. FIFO_ASY

    0下载:
  2. 异步FIFO,利用格雷码作异步FIFO指针减少亚稳态产生,利用同步寄存器放置亚稳态的级联传播。(Asynchronous FIFO, using gray code for asynchronous FIFO pointer to reduce metastable, cascade propagation using synchronous register placed metastable.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:2048
    • 提供者:253765952
  1. fifo

    0下载:
  2. 学习Clifford_E论文之后完成的异步FIFO,可以完成异步时钟下的数据同步(After learning Clifford_E paper, the asynchronous FIFO can be completed under asynchronous clock data synchronization)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:2048
    • 提供者:WWYMM
  1. 异步FIFO

    1下载:
  2. 自己编写的同步和异步FIFO的verilog代码,验证过,有可靠性(Verilog code of my own synchronous and asynchronous FIFO, verified,and reliable.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:2048
    • 提供者:大黄黄黄
  1. Verilog实例代码

    0下载:
  2. 一些verilog模块的代码与tb,常见的同步异步FIFO,RAM和适合新手学习
  3. 所属分类:硬件设计

  1. verilog实例 [43项]

    1下载:
  2. 一些采用verilog描述的数字功能模块,有常见的同步异步FIFO,RAM等模块,适合新手学习(Some digital function modules described by Verilog, such as synchronous asynchronous FIFO and ram, are suitable for novice learning)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-09-25
    • 文件大小:190464
    • 提供者:hayto
  1. 异步FIFO

    2下载:
  2. 纯Verilog实现的异步FIFO,分为读写控制模块,SRAM CORE,同步等几个模块,内含源文件和仿真文件(The asynchronous FIFO implemented by Verilog is divided into read-write control module, SRAM core module and synchronization module)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-12-08
    • 文件大小:2048
    • 提供者:wt2110
« 12 »
搜珍网 www.dssz.com