CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 四位全加器

搜索资源列表

  1. 用一位全加器组成四位全加器

    1下载:
  2. 用一位全加器组成四位全加器. 所用语言是Verilog HDL. 主要用在加法器的设计中。-All-Canadian with a composed four-adder. The language used is the Verilog HDL. In addition main The design.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3633
    • 提供者:李鹏
  1. multi4

    0下载:
  2. fulladder.vhd 一位全加器 adder.vhd 四位全加器 multi4.vhd 四位并行乘法器-fulladder.vhd a full adder adder.vhd four full adder mult i4.vhd four parallel multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1516
    • 提供者:杨奎元
  1. myproject

    0下载:
  2. 四位全加器,VHDL语言,max+plusII平台做的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:56500
    • 提供者:邱飞
  1. zxfg

    0下载:
  2. 四位全加器语言描述是以文本方式上传的,呵呵,希望大家有帮助
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:775290
    • 提供者:古银河
  1. fadder4

    0下载:
  2. VHDL实现四位全加器,适合初学者,源程序下载
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:113203
    • 提供者:黄利
  1. VHDLsiweiquanjiaqqi

    0下载:
  2. 这是一个利用MAX PULL 制作的VHDL的四位全加器的程序 如果有需要仿真图的 请叫站长联系我
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1482
    • 提供者:郭明磊
  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. jiafaqi

    0下载:
  2. 实现一位全加器的运算,并通过调用模块实现四位全加器的运算-Implement a full adder operation, and by calling the module' s operation four full adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:178685
    • 提供者:肖飞
  1. four_adder

    0下载:
  2. 应用一位全加器的VHDL语言,创建一位全加器符号,用原理图完成四位全加器-Application of a full adder VHDL language, to create a full-adder symbol, with the principle of the completion of four full adder diagram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:149165
    • 提供者:安博
  1. ADDER4B

    0下载:
  2. 此程序是用VHDL硬件描述语言编写的,实现四位全加器的功能-This procedure is used VHDL hardware descr iption languages, the realization of the four full-adder function
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:53620
    • 提供者:
  1. four_fadd

    0下载:
  2. 这是我在ISP编程实验中独立编写的采用结构化描述的四位全加器,通过四次映射一位全加器的方式实现了四位全加器的功能,并附有数码显示模块,将全加器的运算结果输出到数码管显示。-This is my ISP programming experiment in the preparation of an independent structural descr iption of the four full-adder, through the four mapping of a full adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:122350
    • 提供者:daisichong
  1. w

    0下载:
  2. 用VHDL语言设计四位全加器,有低位进位和高位进位。-VHDL language with four full-adder design, there are low and the high binary binary.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2259
    • 提供者:WL
  1. adder17

    0下载:
  2. 实现17位加法,利用一个16位超前进位加法器和一个一位全加器构成的一个有进位输入和进位输出的17加法器,并且16位加法器利用的使四位超前进位加法器构成。它在booth乘法器设计中经常用到。可以使初学者对模块的调用了解更加透彻。-Adder 17 to achieve the use of a 16-bit CLA, and a one-bit full adder composed of a binary input and binary output of the adder 17, and
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:1580
    • 提供者:htpq
  1. 2008619105258431

    0下载:
  2. 九个输入,一个输出,实现四位全加器,四位全加器的功能-9 input, 1 output, to achieve four full-adder, four full-adder function
  3. 所属分类:Editor

    • 发布日期:2017-04-05
    • 文件大小:963453
    • 提供者:fst_yiran
  1. Adder4

    0下载:
  2. 源码,内容是用VHDL语言编写的四位全加器-Source code, using VHDL language of the four full-adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:5318
    • 提供者:
  1. 4add

    0下载:
  2. 一位全加器和四位全加器,EDA板图设计,并且有图片。
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:459885
    • 提供者:钟毅
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. fadder_4

    0下载:
  2. 利用quartus9.0中元器件模块设计的四位全加器,能运行出结果(Quartus9.0 binary device using the design of four bit full adder, can run the results)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:101376
    • 提供者:wqjms
  1. fadder_4v

    0下载:
  2. 利用quartus9.0中verilog语言实现的四位全加器,亲测有效(Using quartus9.0 Verilog language to achieve the four bit full adder, pro test effective)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:94208
    • 提供者:wqjms
  1. xor4b

    0下载:
  2. 实现四位全加器,为初学者提供参考说明,对VHDL语言有一定了解(it's a addler of four bits,which is designed for the new learner of VHDL language)
  3. 所属分类:其他

    • 发布日期:2017-12-22
    • 文件大小:91136
    • 提供者:秦秦秦
« 12 3 »
搜珍网 www.dssz.com