CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 四选一

搜索资源列表

  1. sixuanyi

    0下载:
  2. 四选一选择器,输入四个,输出1个.当NM=00时选A 当NM=01时选B 当NM=10时选C 当NM=11时选D-four elected a selector, the importation of four, Output 1. When NM = 00 A at the election when NM = 01 am when the election NM B = C 10:00 when the election NM = 11:00 election D
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13594
    • 提供者:赵总令
  1. one_in_four

    0下载:
  2. 用CASE语句来设计的四选一电路,大家可以放心使用的,很简单,也很实用,希望能有所帮助.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3044
    • 提供者:赵正鑫
  1. VHDL作业-张晓峰036099149

    0下载:
  2. VHDL的四选一选择器-VHDL four elected a selector
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:51508
    • 提供者:熊辉波
  1. mux4_1.数字系统设计的编程

    0下载:
  2. 数字系统设计的编程,实现四选一的多路选择器,用verilog实现。,The design of digital systems programming, to achieve the election of the four MUX, with the realization of verilog.
  3. 所属分类:Windows编程

    • 发布日期:2017-03-23
    • 文件大小:50676
    • 提供者:kahn
  1. double_mux4_1

    0下载:
  2. 设计一个双四选一的数据选择器电路 设计要求: (1)双四选一的数据选择器的电路框图如图3.2.3所示,试写出设计块对其逻辑功能进行描述。 -Choose a design of a dual quad data selector circuit design requirements: (1) a double four selected data selector circuit diagram shown in Figure 3.2.3, try to write the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:132797
    • 提供者:mowensui
  1. mux4_to_1

    0下载:
  2. 四选一选择器的Verilog HDL编程,在Quartus II中实现了四选一数据选择器的功能。-Four elected a selector Verilog HDL programming, in the Quartus II in the four election data selector function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:224731
    • 提供者:
  1. 4_1

    0下载:
  2. 四选一编程语言,可以自动生成四选一器件。-First elected four programming languages, you can automatically generate a four selected devices.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:286522
    • 提供者:周祥娟
  1. bible

    0下载:
  2. 基于EDA的三八译码器,四选一优先选择器,楼梯开关电路,包含程序运行波形图。-EDA-based decoder of the 38, four elections to choose a priority, and the staircase switch circuit, including wave run.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:387733
    • 提供者:千语千舒
  1. mux4_to_1

    0下载:
  2. 简单实用的四选一多路选择器,其中包括门级和数据流级程序代码,以及验证功能的激励程序代码。-Four simple and practical way to choose more than one election, which includes gate-level and code-level data stream, as well as the incentive to verify the functional code.
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:745
    • 提供者:赵剑平
  1. Desktop

    0下载:
  2. 四选一多路选择器 modelsim testbench-Select more than one four-way selector modelsim testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:95194
    • 提供者:
  1. mux

    0下载:
  2. 用case描述的 四选一 数据选择器短小精湛初学者必看-With the case described in four short selection of a data selector superb must-see for beginners
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:313233
    • 提供者:张江
  1. 7_decoder

    0下载:
  2. VHDL编写!数据选择器大全! 包括: mux2to1.vhd 二选一电路 mux2_1.vhd 二选一电路 mux2_1.bdf 二选一电路 mux3to1.vhd 三选一电路 mux3to1_1.vhd 三选一电路 mux4to1.vhd 四选一电路 -VHDL write! Data selector Daquan! Including: mux2to1.vhd two choose a circuit mux2_1.vhd two choose a cir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1943
    • 提供者:linnvel
  1. LabVIEW

    2下载:
  2. 四选一数据选择器.vi 3-8译码器.vi 全减器.vi 时钟.vi RS触发器.vi-4 Select a data selector. Vi 3-8 decoder. Vi Full reduction device. Vi Clock. Vi RS flip-flop. Vi
  3. 所属分类:2D Graphic

    • 发布日期:2017-04-03
    • 文件大小:72603
    • 提供者:宋佩
  1. 4

    0下载:
  2. 双四选一数据选择器74LS153,1、写一个程序,用顺序描述语句和并发描述语句(选择信号代入语句或者条件信号代入语句)分别控制74LS153的一个输出端Q。 2、比较一下顺序语句与并行语句各自的优缺点。 输入:逻辑开关。输出:LED灯。 -A double four election data selector 74LS153, 1, write a program, with sequential and concurrent statements describe the sta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2266
    • 提供者:李小勇
  1. simulation

    0下载:
  2. 对数字电路,通信原理,DSP等一些现象的仿真:比如整流器,滤波器,仿真二/四译码器,四选一数字选择器,信号发生器,2FSK信号的调制、滤波、频谱分析等,对DSP中各种滤波的仿真以及通原中的调制解调的仿真等-Digital circuits, communication theory, DSP and some other phenomena simulation: for example, rectifiers, filters, simulation II/IV decoder, four e
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:902403
    • 提供者:fangchen
  1. xiao

    0下载:
  2. 四选一选择器的verilog实现!希望有用-Four selected to achieve a selector verilog! Hope that useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:196418
    • 提供者:cj
  1. four_selsect

    0下载:
  2. 在QuartusII软件环境下,编写的四选一功能的实现,包含仿真波形-Quartusii software in the circumstances, to write a function of the implementation of a simulation waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2394
    • 提供者:陈颖
  1. Multiplexer-Description2

    0下载:
  2. 通过应用QUARTUSII开发软件对 四选一多路选择器进行设计,并给出运行结果-Software development through the application of QUARTUSII choose one of four multiplexer design, and operating results are given
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:14587
    • 提供者:renee
  1. mux41

    0下载:
  2. 四选一数据选择器(四个输入选择一个输出)(Four select a data selector)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:300032
    • 提供者:木七渊
  1. mux四选一

    0下载:
  2. mux四选一及译码器:MUX电路在数字集成电路被广泛使用,作为寄存器或者其他电路的输入选择控制。也是ASIC设计中的基本门电路之一。(MUX four selection one and decoder)
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:2048
    • 提供者:W*ei
« 12 3 4 5 6 »
搜珍网 www.dssz.com