CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 帧同步 系统

搜索资源列表

  1. tryGUI

    1下载:
  2. 超宽带系统链路matlab仿真程序 超宽带系统简单仿真平台,有简单界面.包括可替换的脉冲成型(半余弦脉冲)、IEEE802.15.3a的修正SV信道、最大似然信道估计、Rake接收机等模块,可以实现monte carlo仿真求误码率。可添加多址接入、编码等功能(维特比编解码、帧同步的程序由本人同学编写)。入口主程序uwbsim.m 编解码程序:bin2deci.m;bini2deci.m;deci2bin.m VITRBI.m 信道及信道估计:ch_est.m;chan
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:31196
    • 提供者:le302a
  1. sdh

    0下载:
  2. 帧同步检测源码,包括同步跟踪模块,fifo,分频模块,还有系统的测试平台-frame synchronization source detection, including synchronous tracking module, fifo, frequency module, and system test platform
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6052
    • 提供者:liu
  1. UWBsimulator

    0下载:
  2. 自己编写的超宽带系统简单仿真平台,有简单界面.包括可替换的脉冲成型(半余弦脉冲)、IEEE802.15.3a的修正SV信道、最大似然信道估计、Rake接收机等模块,可以实现monte carlo仿真求误码率。可添加多址接入、编码等功能(维特比编解码、帧同步的程序由本人同学编写)。入口主程序uwbsim.m 编解码程序:bin2deci.m;bini2deci.m;deci2bin.m VITRBI.m 信道及信道估计:ch_est.m;channel.m;channelgenerator
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:32274
    • 提供者:volvo827
  1. pcm13

    0下载:
  2. PCM采编器器系统是一种常用的遥测设备,它可以采集多路数据并进行通信传输和数据处理,PCM 采编器控制采集各个数据通道数据的时序,并加上帧同步码形成一定格式的数据,再进行并/串转换,形成串行数据流送到调制设备供传送。-PCM Editor System is a common telemetry equipment, It can be multi-channel data acquisition and communication transmission and data processin
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:876
    • 提供者:张全文
  1. MIMO-OFDM

    3下载:
  2. 《MIMO-OFDM关键技术的研究和完整仿真平台的建立》,建立了一个完整的MIMO-OFDM的仿真平台,为实际的硬件平台提供解决方案,给出性能评估。为建立这个完整的仿真平台,本文从MIMO-OFDM信号模型,提出系统实现的各个关键技术:帧同步和载波频偏估计、信道估计、采样同步和载波跟踪、空时/频编解码。
  3. 所属分类:通讯/手机编程

    • 发布日期:2014-01-17
    • 文件大小:2463876
    • 提供者:李继龙
  1. 局域网屏幕动态监控系统

    2下载:
  2. 这款软件是基于前人开发经验基础上,经过总结大量经验和测试、高度优化的局域网监视/控制系统,其稳定性和极高的性能是同类软件所无法比拟的。 (一)适合人群/场所: 1.工作室(特别是机器多,显示器少) 2.网吧(有用主机监控客户机上网行为需求的) 3.学校 4.机房 5.公共部门 (二)功能及特性 1.可在局域网服务端同步监视多台机器,客户机需要安装客户端,无须复杂的配置,简易可行 2.刷新速度快,最流畅时5帧/秒,这是任何一款不依赖硬件的监控平台都无法达到的指标
  3. 所属分类:远程控制编程

  1. pcm

    0下载:
  2. 1).输入码流DATA,速率为2.04Mb/S;每帧256bit,其中前8bit为帧同步码;偶数帧的帧同步码为10011011,奇数帧的帧同步码为110XXXXX(X为任意值)。 2).系统初始状态为失步态,失步信号FLOSS输出低电平,电路在输入码流里逐比特搜寻同步码,当搜寻到第一个偶帧同步码后,电路转为逐帧搜寻,当连续三帧均正确地搜寻到同步码后,系统状态转为同步态,失步信号输出高电平;否则电路重新进入逐比特搜寻状态。 3).系统处于同步态后,当连续四帧检出的同步码均错误,则系统转为
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:1635
    • 提供者:alan
  1. 1

    0下载:
  2. 1、用数字信源模块、数字终端模块、位同步模块及帧同步模块连成一个理想信道时分复用数字基带通信系统,使系统正常工作。 2、用数字信源、数字终端、数字调制、2DPSK解调、载波同步、位同步及帧同步等七个模块构成一个理想信道时分复用2DPSK通信系统并使之正常工作。 3、用数字信源、数字终端、数字调制、2FSK解调、位同步及帧同步等六个模块,构成一个理想信道时分复用2FSK通信系统并使之正常工作。-1, with the number of source modules, digital te
  3. 所属分类:WAP develop

    • 发布日期:2017-04-10
    • 文件大小:1102184
    • 提供者:张三
  1. synchronization

    1下载:
  2. 各种同步实验及系统设计。包括:同步载波提取、帧同步信号提取实验、位同步信号提取实验以及衰落信道帧同步电路设计与实现和位同步的提取方法设计。-Various synchronization experiment and system design. Including: synchronous carrier extraction, frame synchronization signal extraction experiments, bit synchronization signal ext
  3. 所属分类:Communication

    • 发布日期:2016-06-04
    • 文件大小:355711
    • 提供者:Kerwin
  1. Frame_Detection

    2下载:
  2. ofdm系统中的完整帧同步模块,基于verilog实现。-ofdm system full frame synchronization module, based on verilog implementation.
  3. 所属分类:Communication-Mobile

    • 发布日期:2016-08-16
    • 文件大小:571392
    • 提供者:罗云
  1. asic

    0下载:
  2. 帧同步 系统是保证收、发双方同步工作的重要单元。从基群的帧结构中可知,同步时隙 TSo是奇、偶帧两种形式的图案交替,即偶帧TS0时隙的D2~D8为帧同步码“0011011”, 奇帧TSo时隙的D2固定为“1”。为了提供防止伪帧定位的附加保护措施和提高比特误码 监测能力,TSo时隙中的第—位码作为循环冗余校验(CRC)码。-帧同步帧同步系统是保证收、发双方同步工作的重要单元。从基群的帧结构中可知,同步时隙 TSo是奇、偶帧两种形式的图案交替,即偶帧TS0时隙的D2~D8为帧同步码“
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-10
    • 文件大小:547
    • 提供者:zzgrty
  1. framesynchronizationexperiment

    0下载:
  2. 通信系统实验与分析十,帧同步提取实验-Experiment and Analysis of Communication System 10, frame synchronization experiment
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:50090
    • 提供者:Iron Mask
  1. c10

    3下载:
  2. 系统同步的matlab程序,载波同步,符号同步,帧同步-Synchronization of the matlab program, carrier synchronization, symbol synchronization, frame synchronization
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:19700
    • 提供者:郑书鑫
  1. tongbu

    0下载:
  2. 1、搜索出数据流中的帧同步字信号,并给出帧同步标志。 2、系统工作开始后,要连续3次确认帧同步字进入锁定状态后才输出帧同步标志。 3、在锁定状态时,如连续出现3次错误的帧同步字,则帧同步标志输出无效,系统重新进入搜索状态;否则继续输出有效的帧同步标志。 -1, the search for the data stream signal in the frame synchronization word and frame synchronization flag is given.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:5551
    • 提供者:your name
  1. syn_search

    0下载:
  2. 设计一检测电路,搜索帧同步码。要求在搜捕态能够正确地从数据流中提取帧同步码,在达到一定设计要求时进入稳定同步态。同时,要求帧同步检测电路具有一定的抗干扰能力,在稳定同步态发现帧失步次数超过设计要求时,系统要进入搜捕状态。-Design a detection circuit, the search frame synchronization code. Required to search state can correctly be extracted from the data stream
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:990
    • 提供者:huluobo
  1. M-sequence

    0下载:
  2. M序列具有伪随机特性,代码包含了M序列的生成和检测,可用于帧同步系统。-M-sequence has a pseudo-random properties, including the M-sequence code generation and detection, can be used for frame synchronization system.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:582171
    • 提供者:林竹
  1. 18.基于2.4GHz的数字基带系统设计与实现

    1下载:
  2. 首先设计了 2.4GHz 数字基带系统的架构,该架构包括模拟前端、数字 基带、寄存器、协议处理和 I/O 等模块,其中,数字基带模块由发送子系统和接收 子系统构成。基于该架构,使用 Verilog HDL (hardware descr iption language,硬件 描述语言)设计了数字基带发送子系统,该发送子系统由 PPDU、symbol-to-chip、 chip-to-precode、 CRC 和白化五个模块组成,采用 symbol-to-chip 和 chip-to-pr
  3. 所属分类:文档资料

  1. 18.基于2.4GHz的数字基带系统设计与实现.pdf

    0下载:
  2. 数字基带接收子系统包含下变频、低通滤波、微分解调、包检测、帧同步和帧解析六个模块。(The reception subsystem included down-conversion, low-pass filtering, differential demodulation, packet detection, frame synchronization and frame analysis.)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:1899520
    • 提供者:快乐飞儿
  1. 数字通信系统中的帧检测和频偏矫正

    3下载:
  2. 编写Labview程序,实现帧同步与频偏矫正 设计出包含帧同步的基本数字通信系统,并用USRP进行算法性能验证; 观察符号定时之后的星座图;
  3. 所属分类:LabView编程

  1. 帧同步

    0下载:
  2. 输入数据data为8 bit并行数据流,基本结构为数据帧,帧长为10字节。系统工作开始后,要连续3次确认帧同步字进入锁定状态后才输出帧同步标志。
  3. 所属分类:VHDL编程

    • 发布日期:2020-03-03
    • 文件大小:250391
    • 提供者:suitrabbit
« 12 3 4 »
搜珍网 www.dssz.com