CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 并入串出 移位寄存器 verilog

搜索资源列表

  1. shifter.实现串行数据与并行数据的转换

    2下载:
  2. 8位双向移位寄存器: 实现串行数据与并行数据的转换,移位寄存数据功能的,8-bit bi-directional shift register: the realization of serial data and parallel data conversion, data storage function of displacement
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:45758
    • 提供者:罗子
搜珍网 www.dssz.com