CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 循环右移

搜索资源列表

  1. intrins.h

    0下载:
  2. c51中的intrins.h库函数 _crol_ 字符循环左移 _cror_ 字符循环右移 _irol_ 整数循环左移 _iror_ 整数循环右移 _lrol_ 长整数循环左移 _lror_ 长整数循环右移 _nop_ 空操作8051 NOP 指令 _testbit_ 测试并清零位8051 JBC 指令
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-16
    • 文件大小:794
    • 提供者:极光
  1. newHD7279

    0下载:
  2. #include <reg51.h> /*-------------------------------------------- 调用方式:自行I/O 口定义﹫2001/05/12 函数说明:私有函数,各接口定义 --------------------------------------------*/ sbit HD7279_CS=P1^4 // HD7279_CS--P1.4 sbit HD7279_CLK=P1^5 // HD7279_CLK--
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:4424
    • 提供者:徐亮
  1. CPU

    3下载:
  2. 使用verilog作为CPU设计语言实现单数据通路五级流水线的CPU。具有32个通用寄存器、一个程序计数器PC、一个标志寄存器FLAG,一个堆栈寄存器STACK。存储器寻址粒度为字节。数据存储以32位字对准。采用32位定长指令格式,采用Load/Store结构,ALU指令采用三地址格式。支持有符号和无符号整数加、减、乘、除运算,并支持浮点数加、减、乘、除四种运算,支持与、或、异或、非4种逻辑运算,支持逻辑左移、逻辑右移、算术右移、循环右移4种移位运算,支持Load/Store操作,支持地址/立即
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:43474
    • 提供者:haotianr
  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:129279
    • 提供者:623902748
  1. I2C

    0下载:
  2. SMART(MAGIC)ARM2200 试验目的 学习编写I2C驱动及应用程序开发 掌握中断程序的开发流程 试验内容 响应按键,点亮对应的LED 按下按键1(S1)点亮LED1。。。,以此类推,按下按键4(S4)点亮LED4 按下按键15(S15)循环左移点亮LED 按下按键16(S16)循环右移点亮LED 完成上述每一步操作,同时要求把键值通过UART0回传到PC -SMART (MAGIC) ARM2200 purpose of study and p
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-30
    • 文件大小:102294
    • 提供者:chenhao
  1. xunhuan

    0下载:
  2. 单片机流水灯循环移动,实现循环左移和循环右移的功能-SCM water cycle moving lights to achieve loop left and loop right shift function
  3. 所属分类:software engineering

    • 发布日期:2017-04-15
    • 文件大小:10980
    • 提供者:
  1. LED

    0下载:
  2. 按下列顺序无限循环移动彩灯显示电路,LED灯总数为8个,具体循环次序如下:1.D7,D3,其余灭,右移三次后全灭 2。D4,D0亮,其余灭,左移三次后全灭 3。D3,D4亮,其余灭,各向两边移三次后全灭 4,D7,D0亮,其余灭,各向中间移三次后全灭 -----上述灯移动的间隔为0.5s-In the following order infinite loop mobile lantern display circuit, LED lights total of 8, th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:445438
    • 提供者:xizi
  1. matrix

    0下载:
  2. 在一个N*N的矩阵中,元素可以用A(i,j)来表示(0<=i,j<n),使用SHIFT运算能够把某一行的元素循环右移,即对该行的每个整数,把它移到右边一位的位置,将最右边的整数移动到最左边。可以使用任意多次SHIFT运算,求最大列和的最小值 -In a N* N matrix, the elements can be used A (i, j) to represent (0 < = i, j <n),使用SHIFT运算能够把某一行的元素循环右移,即对该行的每个整数,把
  3. 所属分类:Algorithm

    • 发布日期:2017-04-11
    • 文件大小:518
    • 提供者:my510k
  1. exm2

    0下载:
  2. 基于PIC18F单片机控制的系统,利用D口控制发光二极管循环右移实现追灯的功能-PIC18F microcontroller based control systems, the use of D port to control LEDs light cycle chase right to achieve the function
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:19953
    • 提供者:张蓉婷
  1. 1602

    0下载:
  2. LCD1602循环右移显示Welcome to China的c语言源程序,针对at89s52型单片机 -Rotate right LCD1602 display Welcome to China c language source code for at89s52 MCU
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-30
    • 文件大小:1726
    • 提供者:远航
  1. HD7279A

    0下载:
  2. 使用HD7279芯片,实现循环右移、闪烁等功能-Using the HD7279 chip, rotate right, flashing etc.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-11
    • 文件大小:1156
    • 提供者:sally
  1. PMD

    0下载:
  2. 跑马灯 控制方式:手动/自动/变速 跑马灯移动模式:闪烁、奇偶轮流闪烁、循环左移、循环右移、展开左移、展开右移、两边向中间、中间散开、来回震荡-Marquee Control mode: manual/automatic/variable Marquee Move mode: flashing parity rotation flashes, rotate left, rotate right, expand the left, expand the right shift
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:1523
    • 提供者:梁姗姗
  1. using-LCD-display-welcome-to-china

    0下载:
  2. LCD循环右移显示Welcome to China-using LCD display welcome to china
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:16622
    • 提供者:li
  1. led-circulation-move

    0下载:
  2. C语言 led 循环右移程序 可以实现单片机驱动的led循环控制。-C language led circulation move to the right program
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:768
    • 提供者:hehe
  1. 11-LED循环右移

    0下载:
  2. 11-LED循环右移()
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:6144
    • 提供者:3lb13zvr
  1. 41-数码管循环右移

    0下载:
  2. 41-数码管循环右移(41- digital tube loop right shift)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:12288
    • 提供者:3lb13zvr
  1. 41-数码管循环右移1

    0下载:
  2. 41-数码管循环右移1(41- digital tube loop right shift 1)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:12288
    • 提供者:0eu04wue
  1. 42-数码管循环右移2

    0下载:
  2. 42-数码管循环右移2(42- digital tube loop right shift 2)
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:12288
    • 提供者:2ck44gmm
  1. 11-LED循环右移

    0下载:
  2. 51单片机开发演示实验,实验现象:LED循环右移,了解程序运行逻辑(51 MCU development demonstration experiment, experimental phenomenon: LED cycle right shift, understand the logic of program operation)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-21
    • 文件大小:7168
    • 提供者:Tony1111
  1. 数组A中的元素A[0]至A[n-1]循环右移k位

    0下载:
  2. 设计算法,将存有n(n>0)个数的数组A中的元素A[0]至A[n-1]循环右移k(k>0)位,要求只允许使用一个元素大小的附加存储,元素移动或交换次数为O(n)。
  3. 所属分类:C#编程

« 12 3 4 5 »
搜珍网 www.dssz.com