CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 抢答器 四

搜索资源列表

  1. 实战四《简易四路抢答器》

    0下载:
  2. 实战四《简易四路抢答器》,程序文件名:\"MCD-INTBUZ.ASM\",在8255单片机上实现-four real "Simple 4P Responder" program file name : "MCD - INTBUZ.ASM", in 8255 MCU to achieve
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4764
    • 提供者:
  1. 基于vhdl的四路智能抢答器

    3下载:
  2. 基于vhdl语言的四路只能抢答器源代码程序
  3. 所属分类:VHDL编程

    • 发布日期:2011-06-04
    • 文件大小:5494
    • 提供者:jiqimao
  1. qiangdaqi.rar

    0下载:
  2. 四人抢答器设计,具有超前抢答显示报警,20秒倒计时超时抢答报警及加分、减分等功能,Answer four design, with advance Answer show alarm, countdown to 20 seconds of overtime Answer alarm and extra points, reducing the classification function
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1910
    • 提供者:小草
  1. vhdlCompetition.rar

    1下载:
  2. 用VHDL设计四人抢答器,vhdl学习的基础,很好用,vhdl competition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-19
    • 文件大小:386981
    • 提供者:吴小平
  1. vhdlcpld.rar

    0下载:
  2. 用vhdl实现四人智能抢答器,强大成功,显示抢答号。超时没有人回答,有报警提示。,Using vhdl implementation of four smart Responder, strong success, showing to answer in number. Out that no one answered, there is alarm.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:337848
    • 提供者:bela
  1. zhiliqiangdaqi

    0下载:
  2. 可以供四组人员进行操作的智力抢答器,当一次抢答完成后可以复位继续进行抢答,当抢答成功时会显示号码并响铃-Be available for four groups of personnel to operate the intellectual Answer, and when after the completion of an Answer to Reset Answer to continue, when the Answer success will show number and rin
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:2764
    • 提供者:yaminsh11
  1. qiangdaqi

    0下载:
  2. 基于8051单片机的设计设计,四路抢答器的设计,word形式,汇编语言。抢答器-8051 based on the design of design, Design Quad Answer, word form, assembly language. Answer器
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:70102
    • 提供者:周周
  1. qingdaqi

    0下载:
  2. 四路抢答器,超时报警,提前抢答报警,计分等-Answer four, and overtime alarm, warning in advance Answer, including classification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3707
    • 提供者:Lee
  1. qiangda

    0下载:
  2. l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响,直至该路按键松开,显示牌显示该路抢答台号。 3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路 -l, d
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:305207
    • 提供者:hugh
  1. qiangdaqi

    0下载:
  2. 我的四人抢答器设计报告,带各个模块波形,总结和参考书-Answer four of my design report, with each module waveforms, summarize and reference books
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:93954
    • 提供者:dreamy
  1. Answerbrowser

    0下载:
  2. 抢答器原理图,设计为四人抢答器,带主持人功能。-Answer browser schematic design for the four Answer devices with host functions.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-26
    • 文件大小:189405
    • 提供者:zxclli2001
  1. environment

    1下载:
  2. VHDL开发环境,四人抢答器,实现了四个人能同时抢答的功能。-VHDL development environment Answer four, and the realization of the four functions at the same time Answer.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:827804
    • 提供者:王蕊
  1. 2009EDA

    0下载:
  2. 设计一个四路抢答器。抢答器必须具有互锁功能,同时抢答时每次只能有一个输出有效。同时,抢答时具有计时功能,限定选手的答题时间,在接近规定时间时进行提示,达到规定时间发出终止音。主持人可控制加分或减分。-Answer to design a four-way device. Answer must have interlocking features, Answer at the same time when there can be only one output per effective. A
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:233971
    • 提供者:任晓
  1. Four

    2下载:
  2. 四人抢答器的设计+模拟电子线路,很具有参考价值的文档。有需要的快来下吧。-Answer four design+ simulation of electronic circuits, it is the value of a reference document. Come in need of it.
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:413578
    • 提供者:lever
  1. 4waybuttondevice

    0下载:
  2. 直接烧写进单片机即可 按四个独立按键,分别代表4路抢答器按键 -Can be burned directly into the single-chip by four separate buttons, representing the 4-way button device Answer
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:11392
    • 提供者:4路抢答器
  1. answeringdevice

    0下载:
  2. 四人抢答器,本设计室根据抢答器的原理,用vhdl语言写的。具有很强的实用价值。-Four Responder, this Responder Design Studio, according to the principle, using vhdl language written. Has a strong practical value.
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:179246
    • 提供者:红儿
  1. qiangdaqi

    0下载:
  2. 四人抢答器,已通过编译,仿真,包括抢答识别、计分、计时、数字显示等功能。-Four Responder, has passed the compilation, simulation, including the answer in his identification, scoring, timing and digital display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2318
    • 提供者:majianhui
  1. qiangda

    1下载:
  2. EDA课程设计智力抢答器 四路抢答器的设计以及程序和视屏 软件运行环境是:Quartus 9.1-EDA curriculum design intelligence Responder four answering device design and process and Screen software operating environment is:Quartus 9.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9161812
    • 提供者:shaozhen
  1. 四位抢答器

    0下载:
  2. 四路抢答器。首先通过主持人按下抢答按键代表抢答开始, 其他四人进行按键抢答,一个抢到后,其他人不可以进行操作。(Four way responder.First of all, through the moderator press answer button, on behalf of answer start, Other four people to answer the key, after a grab, other people can not operate.)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-22
    • 文件大小:290816
    • 提供者:专克
  1. 抢答器

    1下载:
  2. 四人抢答器的设计 具有主持人复位功能; 两个四人抢答器可直联成八人抢答器; 数字显示选手编号:单机使用时,显示1-4号选手;联机测量时,显示1-8号选手。(Design of four-person answering device Has a moderator reset function; Two four-person responders can be directly connected into an eight-person responder; The number shows
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-07-02
    • 文件大小:96256
    • 提供者:soader
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com