CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 按键 vhdl

搜索资源列表

  1. VHDL基于实验开发板的按键处理与LED显示

    0下载:
  2. VHDL基于实验开发板的按键处理与LED显示。
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:1052
    • 提供者:sheji105
  1. 基于VHDL的电子钟

    0下载:
  2. 基于VHDL的电子钟 实现一个简单的电子钟,可显示其时间时分秒和年月日,通过按键交替显示
  3. 所属分类:VHDL编程

    • 发布日期:2013-01-25
    • 文件大小:346534
    • 提供者:连连
  1. VHDL

    0下载:
  2. vhdl的很多例子,包括LED、lcd、按键、数码管等等,非常的实用。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:855277
    • 提供者:盐城
  1. 用VHDL语言实现四人智力竞赛抢答器的设计

    0下载:
  2. 1、用feng模块将选手按下按键信号输出高电平给锁存模块lockb,进行锁存的同时发出aim信号实现声音提示,并使count模块进行答题时间的倒计时,在计满100妙后送出声音提示; 2、用ch41a模块将抢答结果转换为二进制数; 3、用sel模块产生数码管片选信号; 4、用ch42a模块将对应数码管片选信号,送出需要的显示信号; 5、用七段译码器dispa模块进行译码。
  3. 所属分类:VHDL编程

  1. VHDL串口通信(Qusrtus)

    0下载:
  2. Qusrtus工程 EP2C8Q208CN.串口通信,按键显示终端Welcome,串口控制数码管
  3. 所属分类:源码下载

    • 发布日期:2011-07-24
    • 文件大小:475865
    • 提供者:kerwin666
  1. keydebounce.rar

    0下载:
  2. FPGA中按键弹跳消除模块的研究与应用,原理和例子都非常好,FPGA to eliminate bounce in key research and application modules, principles and examples are very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:230264
    • 提供者:mcuxxq
  1. vhdl4.rar

    0下载:
  2. 数字密码锁: 1.系统具有预置的初始密码“00000001”。 2.输入密码与预存密码相同时,开锁成功,显示绿灯,否则开锁失败,显示红灯。 3.具有修改密码功能。修改密码时,先开锁,开锁成功才可以修改。 4.系统同时具有关锁功能。关锁后,显示红灯。 5.密码由拔码开关表示,开锁由按键表示。 6具有一个复位按键。按键后,回到初始状态。 ,The number of locks: 1. System has preset the initial password 00000001.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:251926
    • 提供者:宫逢源
  1. scan_LED

    0下载:
  2. 1) 输入设备为4*4矩阵键盘,分别代表0~F; 2) 输出设备为四位数码显示管,初始值显示0000,当按下某一键时,最右边的一位数码显示管显示最新一次所按按键的数值,而之前的显示值左移,例如,第一次按‘1’键,则显示0001;第二次按‘3’键,则显示0013;第三次按‘5’键,则显示0135;第四次按‘7’键,则显示1357;第五次按‘9’键,则显示3579,第四次按‘F’键,则显示579F-1) input device for the 4* 4 matrix keyboard,
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:199845
    • 提供者:王广玉
  1. VHDL

    0下载:
  2. 1、 设计一个简易电子琴。要求能演奏的音域为中音的 1 到高音的 1。 2、 用GW48-PK2中的8个按键作为琴键。 3、 GW48-PK2中有扬声器。 4、 可以使用GW48-PK2上的12MHz作为输入时钟信号。 -1, the design of a simple flower. Requirements can play for the tenor of the range of 1 to treble the 1.2, and GW48-PK2 in eight ke
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:31012
    • 提供者:朱磊
  1. VHDL_electronic_organ

    0下载:
  2. 简易电子琴,可以弹奏音乐。本课程设计主要内容是基于VHDL语言并利用数控分频器设计硬件电子琴,利用GW48作为课程开发硬件平台,键1至键8设计为电子琴键。某一个LED显示当前的按键的音节数。-Simple organ, can play music. The main contents of this curriculum design is based on the VHDL language and the use of digital hardware design divider org
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:266826
    • 提供者:lsb
  1. key_xiaodou

    0下载:
  2. 该资料是用vhdl编写的按键消抖程序,按键消抖在使用按键的数字电路中非常重要,如果不对按键信号进行处理,有可能会出现大量错误的按键信号。文件key_xd.vhd是按键消抖程序,文件key_xd.vwf是仿真波形文件。该程序已经通过仿真测试,并且在电路板上调试通过,效果理想。-The information is written in the key consumer vhdl shaking procedures, key consumer shaking in digital circuits
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:253078
    • 提供者:lwj
  1. qiangda

    0下载:
  2. l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响,直至该路按键松开,显示牌显示该路抢答台号。 3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路 -l, d
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:305207
    • 提供者:hugh
  1. liushuideng

    0下载:
  2. 流水灯程序,1.在CH-3实验平台上通过LED0~LED7八位LED发光二极管实现流水灯显示,流水效果为LED灯依次亮起,第二个灯亮时第一个熄灭2.用按键切换产生2种流水效果-Process water lights, 1. CH-3 in the experimental platform LED0 ~ LED7 through eight light-emitting diode LED lights show the achievement of water, running water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1768941
    • 提供者:赵剑平
  1. example5

    0下载:
  2. 用 8 个按键对应 8 个数字显示,初始值为 0。按 key1 到 key8 可以显示 1到8 的数值。-With 8 keys corresponding to eight figures show that the initial value of 0. By key1 to key8 can display 1 to 8 values.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:28624
    • 提供者:panda
  1. VHDL(LOCK)

    0下载:
  2. 数字密码锁的设计与实现 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习数字密码锁的设计 二.实验内容 设计一个数字密码锁,对其编译,仿真,下载。 数字密码锁具体要求如下: 1.系统具有预置的初始密码“00000001”。 2.输入密码与预存密码相同时,开锁成功,显示绿灯,否则开锁失败,显示红灯。 3.具有修改密码功能。修改密码时,先开锁,开锁成功才可以修改。 4.系统同时具有关锁功能。关锁后,显示红灯。 5.密码由拔码开关表
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:18105
    • 提供者:爱好
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. VHDL代码

    0下载:
  2. 实现简单的电子拔河比赛,即两按键模拟,计数器计数,比较器进行比较,最后通过LED灯进行直观显示(To achieve a simple tug of war competition, that is, two button analog, counter count, comparator comparison, and finally through the LED lamp for visual display)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:1024
    • 提供者:很看好
  1. vhdl按键检测

    0下载:
  2. 基于vhdl的按键检测程序。可以有效消除抖动(vhdl key dectect program)
  3. 所属分类:通讯编程

    • 发布日期:2018-04-22
    • 文件大小:5762048
    • 提供者:Mr.zeal
  1. 按键去抖电路VHDL描述

    0下载:
  2. 在开发板FPGA:Spartan-3E 系列,型号:XC3S500E,封装:FGT320,速度-4,利用Xilinx ISE软件,利用VHDL软件编写按键去抖电路,包含实验说明以及代码实现VHDL.doc文件,UCF管脚绑定文件(In the FPGA:Spartan-3E development board series, XC3S500E, package: FGT320, speed -4, using Xilinx ISE software, write the debounce cir
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:29696
    • 提供者:lixilin
  1. VHDL简易电子琴设计(1).doc

    0下载:
  2. 这是一个简单的基于vhdl的电子琴,有自动播放和使用按键来制作不同的音色。(The design of a simple electronic organ based on VHDL, with the automatic playing and the use of keystrokes to make different tones)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:124928
    • 提供者:找你呢
« 12 3 4 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com