CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 数字钟 FPGA 实现

搜索资源列表

  1. MyClockTest

    0下载:
  2. 这是我电子线路测试的作业,在FPGA板上实现数字钟,(Max2环境)采用VHDL语言编写,非常适合初学者。具备24小时计时,校时,低高音整点报时,定时和多重功能选择的功能。-This is my test of electronic circuits operating at the FPGA board digital clock (Max2 Environment) using VHDL language, very suitable for beginners. 24-hour time,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:507269
    • 提供者:blacksun
  1. NumClock

    0下载:
  2. 基于Altera公司系列FPGA(Cyclone EP1C3T144C8)、Verilog HDL、MAX7219数码管显示芯片、4X4矩阵键盘、TDA2822功放芯片及扬声器等实现了《电子线路设计• 测试• 实验》课程中多功能数字钟实验所要求的所有功能和其它一些扩展功能。包括:基本功能——以数字形式显示时、分、秒的时间,小时计数器为同步24进制,可手动校时、校分;扩展功能——仿广播电台正点报时,任意时刻闹钟(选做),自动报整点时数(选做);其它扩展功能——显示年月日(能处理
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:23375
    • 提供者:田世坤
  1. shzzh

    0下载:
  2. 这是在FPGA上实现的数字钟功能,用VERILOG语言编程,已功过编译,仿真验证-This is the FPGA to achieve the digital clock function with verilog programming language, compiler has merits and demerits. Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:64149
    • 提供者:吴乔红
  1. multifunction_digital_clock_based_on_fpga

    0下载:
  2. 基于FPGA的多功能数字钟的设计与实现 内附有详尽的Verilog HDL源码,其功能主要有:时间设置,时间显示,跑表,分频,日期设置,日期显示等
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3293089
    • 提供者:renhuailu
  1. FPGA开发平台上实现多能数字钟

    0下载:
  2. FPGA开发平台上实现多能数字钟
  3. 所属分类:编程文档

    • 发布日期:2012-05-30
    • 文件大小:183838
    • 提供者:njsky@sina.com
  1. VHDL_clock

    0下载:
  2. 关于电子数字钟得FPGA实现,上传来分享一下-Electronic digital clock was on the FPGA, upload to share with you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2754347
    • 提供者:甘超
  1. EDA

    0下载:
  2. 数字钟的实现 FPGA上运行 VHDL编写-Digital clock running on the FPGA to achieve the preparation of VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-10
    • 文件大小:17139867
    • 提供者:周蕾
  1. l602display

    1下载:
  2. 1602显示单片机与FPGA的通信!实现数字钟的功能!仅供参考!望大家多多指教-desplay1602
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2016-06-29
    • 文件大小:2552189
    • 提供者:权慧明
  1. shuzizhong

    0下载:
  2. 数字钟,通过FPGA实现了各种功能,课程设计所做,因为代码简单,没有分模块,一个程序写完了。-Responder, through the FPGA to achieve a variety of functions, curriculum design done, because the code is simple, there is no sub-module, a program finished.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:596054
    • 提供者:liuye
  1. clock

    0下载:
  2. 利用FPGA控制,数码管显示,实现数字钟的功能,经过试验论证,完全可用。-Using FPGA control, digital display, digital clock function, demonstration, tested and fully available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:201106
    • 提供者:shuo men
  1. clock

    0下载:
  2. 采用FPGA实现数字钟功能,包括调时调分整点报时等功能。-FPGA Implementation of a digital clock function, including the tune when the tune points the whole point timekeeping functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1262
    • 提供者:
  1. clock

    0下载:
  2. 实现FPGA的数字钟的实现,具有小时、分、秒等功能-FPGA digital clock, with hour, minutes, seconds and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:679520
    • 提供者:周健
  1. clock_ljs

    0下载:
  2. 用FPGA实现数字钟 里面采用Verilog语言实现了数字钟 小时分秒-FPGA Digtal Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1547105
    • 提供者:Arthur
  1. clock1

    0下载:
  2. 基于FPGA的数字钟设计代码,可显示时间,报时,调时,在开发板EP3C16Q240C8上可实现。-FPGA-based digital clock design code, time, timekeeping, tune in development board EP3C16Q240C8, to achieve.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:1813381
    • 提供者:裴蕾
  1. digitalclock_demo

    0下载:
  2. 该程序适用于xilinx公司的FPGA开发板,spartan3E系列250型号 通过verilog编程实现数字钟的功能,下板子验证可用!-This procedure applies to xilinx FPGA development board Series 250 Model spartan3E digital clock verilog programming under the board to verify available!
  3. 所属分类:Other systems

    • 发布日期:2017-11-08
    • 文件大小:275788
    • 提供者:秋日波尔卡
  1. szz

    0下载:
  2. verilog HDL 硬件描述语言 FPGA 数字钟的实现 调整时间 闹钟等功能-verilog HDL hardware descr iption language implementations of FPGA digital clock adjustment time alarm clock functions
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-08
    • 文件大小:834373
    • 提供者:阿标
  1. FPGA-BASYS2

    2下载:
  2. 基于FPGA BASYS2开发板的数字钟,能够实现计时,时间校准,闹钟,整点报时等功能。-Development board based on FPGA BASYS2 digital clock, to achieve timing, time calibration, alarm, hourly chime functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-13
    • 文件大小:4096
    • 提供者:何畅
  1. fpga

    0下载:
  2. 用FPGA实现的多功能数字钟时,可以定闹钟,校对时间。-When implemented in an FPGA multifunction digital clock, you can set the alarm, set the time.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:13831850
    • 提供者:zhang
  1. Digital_C

    0下载:
  2. 用Verilog写一个多功能数字钟,实现整点报时,切换,年月日周时分秒等的显示。-basic FPGA ,design a founctional digital clock,achieve years、month、day、weeks、hours、minite and so on
  3. 所属分类:Other systems

    • 发布日期:2017-05-19
    • 文件大小:4935437
    • 提供者:霍建华
  1. 《HELLO FPGA》-项目实战篇-V1.1版

    0下载:
  2. 各种实例的FPGA实现,对学习FPGA有一定的帮助,希望大家能够采纳。(The FPGA realization of the digital clock has some help for learning FPGA, and I hope you can adopt it.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:8495104
    • 提供者:小艾525
« 12 »
搜珍网 www.dssz.com