CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 数模转换器 Verilog

搜索资源列表

  1. ADCcaiyang

    0下载:
  2. 模数转换器AD976采样控制器程序Verilog实现,基于状态机实现
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:180446
    • 提供者:cj
  1. ad5399

    2下载:
  2. AD5399是一款串行输入、双通道、12位数模转换器,可采用二进制补码数字编码。。 用Verilog实现其配置与功能-AD5399 is a serial input, dual-channel, 12-bit DAC, digital code can be twos complement. . Configuration and use Verilog functions to achieve its
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-08
    • 文件大小:1024
    • 提供者:dengxiaosong
  1. 16bitADC

    1下载:
  2. verilog实现的16位模数转换器参考源代码-verilog to achieve 16-bit ADC reference source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1417
    • 提供者:龚俊杰
  1. verilog_AD7886

    0下载:
  2. verilog实现模数转换器AD7886的仿真-verilog describe AD7886
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1845
    • 提供者:zhangmin
  1. tlc5620

    0下载:
  2. TLC5620C是带有高阻抗缓冲输入的4通道8位电源输出数模转换器集合 用fpga的verilog描述-TLC5620C with high input impedance buffer 4-channel 8 collection of power output digital-to-analog converter using fpga verilog descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:647532
    • 提供者:官雄辉
  1. ad5764

    1下载:
  2. 数模转换器AD5764的Verilog HDL源程序,已在项目中验证了其可行。-DAC AD5764 Verilog HDL source code, and have verified its feasibility in the project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1244
    • 提供者:avion
  1. ALINX9226_406

    0下载:
  2. 采用了两片 ADI 公司的 AD9226, 此芯片是一款单芯片、 12 位、 65 MSPS 模数转换器( ADC),采用单电源供电,它数据速率达 65 MSPS。该资料基于fpga采用verilog语言实现编程。-Using two ADI' s AD9226, this chip is a single chip, 12, 65 MSPS ADC (ADC), a single power supply, which speeds up data 65 MSPS. The data b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9142416
    • 提供者:Grace
搜珍网 www.dssz.com