CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 数码管的VHDL驱动

搜索资源列表

  1. vhdl

    0下载:
  2. 基于MAXPLUS II 的软件设计,这里面有几个小程序,用于VHDL的GDF设计,含有LED数码管的显示驱动程序,还有3选一,十选一程序。-II FPGA-based design software, there are several small procedures, GDF for VHDL design with a digital LED display driver of the procedures, there is a three elections. 10 election
  3. 所属分类:其它

    • 发布日期:2014-01-15
    • 文件大小:2442
    • 提供者:梁兵
  1. judgedisplay

    0下载:
  2. FPGA驱动数码管,本人编写的vhdl源程序,QUARTUS II调试成功
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1212
    • 提供者:王真
  1. clock

    1下载:
  2. 这是一个实现时分秒的时钟功能的源码,采用vhdl语言编写,已写好led驱动,可直接在数码管上显示
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:247074
    • 提供者:xiaoshuai
  1. daima.用VHDL语言设计一个数字秒表

    1下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由四个十进制计数器和两个六进制计数器构成,其中毫秒位、十毫秒位、秒位和
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-26
    • 文件大小:4767
    • 提供者:SAM
  1. shumaguanxianshi

    0下载:
  2. 数码管显示的实验,让读者了解数码管的原理,用vhdl驱动它的方法,并学习vhdl的使用技巧-Digital display of the experiment, so that readers understand the principles of digital control, using the method vhdl drive it and learn to use techniques vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:162605
    • 提供者:
  1. SimpleBehavioralSRAMModel

    0下载:
  2. HC164用来驱动数码管以及LED指示灯,动态扫描数码管的是利用视觉暂留的特性进行显 示景物引起人的视觉印象,在景物消失后还能在视网膜上保持0。1秒的时间叫做视觉暂 留。可以将数据刷新速率可以为10Hz(0.1s),同时我们需要对四位数据进行扫描,因此 数据刷新速率最低应该为10Hz×4。最高可以为50MHz(HC164可以工作在50-175MHz)。 根据实际情况我们可以定为 762.939453125 = 50MHz因此接口处led,seg_value,dot数据的变化速率最
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4297
    • 提供者:食肉鸟
  1. clock

    0下载:
  2. 电子闹钟 clk: 标准时钟信号,本例中,其频率为4Hz; clk_1k: 产生闹铃音、报时音的时钟信号,本例中其频率为1024Hz; mode: 功能控制信号; 为0:计时功能; 为1:闹钟功能; 为2:手动校时功能; turn: 接按键,在手动校时功能时,选择是调整小时,还是分钟; 若长时间按住该键,还可使秒信号清零,用于精确调时; change: 接按键,手动调整时,每按一次,计数器加1; 如果长按,则连续快速加1,用于快速调时和定时; hour,
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:2278
    • 提供者:阿飞
  1. FPGA-drivenLEDdisplay

    0下载:
  2. FPGA驱动LED显示:运用硬件描述语言(如VHDL)设计一个显示译码驱动器,即将要显示的字符译成8段码。由于FPGA有相当多的引脚端资源,如果显示的位数N较少,可以直接使用静态显示方式,即将每一个数码管都分别连接到不同的8个引脚线上,共需要8×N条引脚线控制.-FPGA-driven LED display: the use of hardware descr iption languages (such as VHDL) design a display decoder driver, ab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:904
    • 提供者:王娟
  1. traffic

    0下载:
  2. 接口如下所示:clk:时钟输入端,此信号是串行扫描的同步信号; data_control[7..0]:8个分别控制数码管显示的输入信号; led_addr[7..0]:对8个数码管进行串行扫描的输出控制信号; seg7_data[6..0]驱动7段数码管各显示段的输出信号; -VHDL programing
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:4653
    • 提供者:lidan
  1. watch

    0下载:
  2. 用VHDL设计实现秒表功能:秒表功能包括开始/暂停键和清零键,精度要达到0.01秒,所以计数显示共有八个数码管,而每个数码管又有八个管脚,因此采用扫描显示的方法,减少管脚数量。时钟脉冲由最低位给入,采用异步方式驱动更高位的计数,时钟频率应该为100Hz,通过数码管显示,共有八个数码管,所以扫描频率应在100Hz的8倍以上。(付按键消抖代码)-VHDL design with a stopwatch functions: stopwatch features include Start/PAUSE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:27292
    • 提供者:李月
  1. display

    0下载:
  2. vhdl,七段数码管驱动程序,完成数字显示功能-vhdl, seven-segment digital tube driver, complete the digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:86285
    • 提供者:王晓虎
  1. matriled

    0下载:
  2. led显示器应用相当广泛,数码管的应用也很广泛,本设计就led驱动,数码管驱动进行了设计,设计中采用VHDL语言,在FPGA上实现了功能方真,在开发板上实现功能。-led driver, led scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:593
    • 提供者:microeric
  1. digital_clock

    0下载:
  2. 用于FPGA可编程逻辑器件的VHDL语言编写的6显示数字钟程序。51单片机驱动6个LED数码管。-Digital clock (VHDL language) for FPGA Development
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:378790
    • 提供者:李哲
  1. 10512210247008

    0下载:
  2. 该数字式相位测量仪以单片机 (89c52) 为核心 , 通过高速计数器 CD4040 为计数器计算脉冲个数从 , 而达到计算相位的要求 , 通过 8279 驱动数码管显示正弦波的频率,不采用一般的模拟的振动器产生 , 而是采用单片机产生 , 从而实现了产生到显示的数字化 . 具有产生的频率精确 , 稳定的特点 . 相移部分采用一般的 RC 移相电路 , 节省了成本。-The digital phase-measuring instrument in order to microcontrolle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:145822
    • 提供者:包进辉
  1. DigitalClock

    0下载:
  2. 基于FPGA的数字电子钟设计,系统总程序由分频模块、“时分秒”计数器模块、数据选择模块、报时模块、动态扫描显示和译码模块组成。得到一个将“时”、“分”、“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外有校时、校分和整点报时功能,并通过数码管驱动电路显示计时结果。-FPGA-based design of digital electronic clock, the system program by the total frequency modul
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:63477
    • 提供者:sunnan
  1. PS2

    0下载:
  2. 用vhdl语言编写的ps2接口驱动程序,可扫描键盘键入的数据并显示在数码管上。-ps2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:493959
    • 提供者:王双
  1. DS18B20

    1下载:
  2. 本程序是基于VHDL语言,在EPM570上开发的温度传感器DS18B20驱动及数码管显示程序-This procedure is based on the VHDL language, developed in the EPM570 DS18B20 drive temperature sensor and digital control display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-06
    • 文件大小:578560
    • 提供者:张衡星
  1. VHDLxianshichengxu

    0下载:
  2. 调试好的VHDL驱动数码管及LCD和3人表决器的程序,开发环境quartus-Debugged and VHDL-driven digital LCD and voting procedures 3
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1784833
    • 提供者:刘耀徽
  1. ISE_lab5

    0下载:
  2. 使用VHDL 语言编写7 段数码管显示程序, 掌握数码管的驱动方法。使用USB 电缆或并口下载线下载逻辑电路到FPGA,并 调试电路使其正常工作。-Using the VHDL language 7-segment display program, for digital control of the driving method. Using the USB cable or parallel port download cable to download logic to FPGA,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1727
    • 提供者:
  1. baduanshumaguan

    0下载:
  2. 用VHDL语言设计并实现一电路,其功能是8个数码管分别显示数字0-7。首先是数码管0显示0,其他数码管不显示;然后是数码管1显示1,其他数码管不显示;依此类推,数码管7显示完后再显示数码管0,这样循环下去。(提示:数字0-7的循环可以使用8进制计数器对1Hz的时钟信号进行计数得到,计数器的输出送到BCD到七段数码管的译码器,由其驱动数码管显示相应的数字。)(Using VHDL language to design and implement a circuit, its function is
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:110592
    • 提供者:一个人丶
« 12 »
搜珍网 www.dssz.com