CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 整数分频

搜索资源列表

  1. 基于CPLD-FPGA的半整数分频器的设计

    0下载:
  2. 基于CPLD-FPGA的半整数分频器的设计,用于设计EDA-based CPLD-half FPGA integer dividers in the design, design for EDA
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:21359
    • 提供者:胡路听
  1. FPGAprogram2

    0下载:
  2. 半整数分频器电路的VHDL源程序,供大家学习和讨论。 -half-integer frequency divider circuit VHDL source code for all learning and discussion.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3379
    • 提供者:许嘉
  1. digitalsystemDesign

    0下载:
  2. 第7章数字系统设计实例 7.1 半整数分频器的设计 7.2 音乐发生器 7.3 2FSK/2PSK信号产生器 7.4 实用多功能电子表 7.5 交通灯控制器 7.6 数字频率计-Chapter 7 Digital System Design Example 7.1-integer dividers designed Music Generator 7.2 7.3 2F SK/2PSK Signal Generator 7.4 Table practical multi-f
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:446705
    • 提供者:李唐
  1. fenpin

    0下载:
  2. 本程序是用VHDL语言,非整数分频的一个实现, fenpin.vhd为主程序-this procedure is used VHDL, non-integer frequency of a realization of the main procedures fenpin.vhd
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:3406
    • 提供者:陈云松
  1. feizhenshu

    0下载:
  2. 非整数分频器 分频系数为无限不循环小数 vhdl-non-integer frequency divider coefficient of circulator is not unlimited vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1828
    • 提供者:那锋
  1. renyizhengshufenpingdeVHDLdaima

    0下载:
  2. 本文件是实现任意整数分频的VHDL代码,愿与大家分享!-this document is arbitrary integer frequency VHDL code, and is willing to share with you!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1097
    • 提供者:少华
  1. 半整数分频器的实现(verilog)

    0下载:
  2. 半整数分频器的实现(verilog),本文以6.5分频为例!很实用的!,fen pin qi
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-24
    • 文件大小:68966
    • 提供者:吕双
  1. any_div_freq

    0下载:
  2. 可以对输入时钟任意分频(整数或小数),带Quartus II 完整项目文件.-Can be arbitrary points on the input clock frequency (integer or decimal), with complete Quartus II project document.
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:246360
    • 提供者:拉灯
  1. VHDL

    0下载:
  2. 一个实现整数分频的VHDL代码,只要把n设置成你所需要的分频的数值就行-A realization of an integer divider of the VHDL code, as long as the n set you need the sub-frequency values on the line
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:996
    • 提供者:褚如龙
  1. divider

    0下载:
  2. 该模块为分频器,将1KHZ的时钟频率分频成每分钟一次的时钟频率 事实上,该源码可以实现任意整数的分频,主要让N的值设置好相应的数字-The module for the divider, the clock frequency 1KHz frequency per minute into the first clock frequency In fact, the source can be any integer frequency, mainly to allow the value o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1086
    • 提供者:Tomy Lee
  1. fenping

    0下载:
  2. 介绍了各种分频器的设计,VHDL描述。包括偶数分频器,奇数分频器,办整数分频器-Introduce the design of a variety of crossovers, VHDL descr iption. Including even-numbered divider, prescaler odd, do integer divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:188449
    • 提供者:wumingxing
  1. fq_div

    0下载:
  2. 一种实现任意整数分频的VHDL源代码,已经经过调试-Achieve an arbitrary integer divider of the VHDL source code, has been testing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3504
    • 提供者:王双
  1. division

    0下载:
  2. 分别用分频比交错法及累加器分频法完成非整数分频器设计。-Points were staggered method and frequency than the frequency accumulator law to complete the design of non-integer divider.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:29002
    • 提供者:旭东
  1. integerdivider

    0下载:
  2. 一个任意整数分频程序,采用VHDL语言编写,编译通过-An arbitrary integer frequency procedure for the VHDL language, the compiler through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:24112
    • 提供者:周宏
  1. fp_forFPGA

    1下载:
  2. 用于FPGA的N+0.5分频代码,可以用来进行非整数分频!-N+0.5 for FPGA-frequency code, can be used for non-integer frequency!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:768
    • 提供者:尚留级
  1. ghzfchsa

    0下载:
  2. 数控分频器,可实现50m以内任意整数分频-NC divider can be realized within 50m of arbitrary integer frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:164885
    • 提供者:张志刚
  1. VHDL

    0下载:
  2. 用VHDL写的代码,实现任意整数分频,自己只要修改分频参数即可。希望对大家有用-Written in VHDL code used to achieve arbitrary integer frequency, their frequency as long as the modified parameter. We hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:721
    • 提供者:tianson
  1. Half

    0下载:
  2. 半整数分频,可以分出x.5的频率,大家请自行研究其他频率。-Half-integer frequency, the frequency may be distinguished x.5, we requested to look into other frequencies.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:665
    • 提供者:江山
  1. DividerVHDL

    0下载:
  2. 使用VHDL进行分频器设计,主要是一些分频的东西,整数分频,小数分频,奇次分频和偶次分频-Divider using VHDL to design, mainly because some sub-band stuff, integer divider, fractional-N, odd and even sub-sub-sub-sub-band frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:322165
    • 提供者:赵勇涛
  1. fenpin51

    0下载:
  2. 任意整数分频器,输出方波可调占空比(已仿真下板子验证)第一个系数为分频系数,第二个为高电平所占整个方波的比例(Arbitrary integer frequency divider, output square wave adjustable duty cycle (has been simulated under board verification), the first factor for the frequency division coefficient, the second fo
  3. 所属分类:VHDL/FPGA/Verilog

« 12 3 4 5 »
搜珍网 www.dssz.com