CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 时钟奇数分频

搜索资源列表

  1. div_js

    0下载:
  2. 技术分频器。把时钟分为奇数个,好像我做出来是个通用的。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:272512
    • 提供者:catalina
  1. FPGA_Clk

    0下载:
  2. 基于Cyclone EP1C6240C8 FPGA的时钟产生模块。主要用于为FPGA系统其他模块产生时钟信号。采用verilog编写。 使用计时器的方式产生时钟波形。 提供对于FPGA时钟的偶数分频、奇数分频、始终脉冲宽度等功能。-Based on Cyclone EP1C6240C8 FPGA' s clock generator module. Is mainly used for the FPGA system clock signal generated in other
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1465971
    • 提供者:icemoon1987
  1. CLK_5

    0下载:
  2. verilog实现时钟的奇数分频,通过ISE仿真。-verilog to achieve the odd clock frequency, by ISE simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:189260
    • 提供者:ll
  1. clk_div3

    0下载:
  2. 在fpga中对于pll无法完成的分频,可采用计数方式,本例用状态机实现对时钟的奇数分频。-Pll in fpga can not be completed in the sub-frequency counting method can be used, in this case with the state machine to achieve an odd number on the clock frequency.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:281546
    • 提供者:郝强
  1. odd_division_wushihai

    0下载:
  2. 对于实现占空比为50 的N倍奇数分频,首先进行上升沿触发进行模N计数,计数到某一个值n时输出时钟进行翻转,然后再计数(N-1)/2次,再次进行翻转得到一个占空比非50 奇数n分频时钟。同理,同时进行下降沿触发的模N计数,等计数到n时,输出时钟进行翻转,同样再计数(N-1)/2次,输出时钟再次翻转生成占空比非50 的奇数n分频时钟。两个占空比非50 的n分频时钟进行相或运算,即得到占空比为50 的奇数N分频时钟。verilog HDL实现-For achieving a 50 duty cyc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7699
    • 提供者:世海
  1. FPGA

    0下载:
  2. FPGA 时钟分频器,包括偶数分频和奇数分频两种,本程序占空比为50-FPGA clock divider, including even and odd frequency division two, 50 duty cycle of the program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1761
    • 提供者:chenquan
  1. Odd-number-frequency-division

    0下载:
  2. 在FPGA中对系统时钟进行奇数分频程序,可适当改变参数对其进行任意奇数分频 verilog HDL语言-Odd number frequency division program based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:336428
    • 提供者:yzy
  1. odd_division

    0下载:
  2. 实现了时钟奇数(11)分频器,其它奇数分频只要重新计算div1和div2参数就行了。-Realize the clock odd (11) frequency divider, other odd frequency division as long as recount div1 and div2 parameters will do.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:31089
    • 提供者:张明涛
  1. divider

    0下载:
  2. 使用模为2N+1的计数器,让输出时钟在X-1(X在0到2N-1之间)和2N时各翻转一次,则可得到奇数分频器,但是占空比并不是50 -The use of modulo 2N+1 counter, let the output clock in the X-1 (X between 0 and 2N-1) and 2N of the turning once, then can get the odd divider, but the duty ratio is not 50
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1209
    • 提供者:houxili
  1. encoder_clk

    0下载:
  2. 精确实现奇数分频,将FPGA开发板提供的25MHZ时钟分频为1MHZ,内含测试文件(Accurate realization of odd frequency division, the FPGA development board provides 25MHZ clock frequency divided into 1MHZ, containing test files)
  3. 所属分类:VHDL/FPGA/Verilog

  1. fenpin

    0下载:
  2. 实现奇数、偶数分频,fpga,Verilog,时钟分频(clock divider,frequency division)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:2048
    • 提供者:饭饭哒
搜珍网 www.dssz.com