CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正余弦生成

搜索资源列表

  1. DDS

    0下载:
  2. 同时用verilog 语言编写dds原代码用于生成正余弦波,并在FPGA平台进行验证-described dds direct digital frequency synthesis of the basic tenets addition to the use of verilog prepared dds source used to produce sine, and FPGA development platform for verification
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:1082
    • 提供者:scond
  1. cordic

    0下载:
  2. 该程序使用Verilog语言,可以生成dds正余弦信号-The program uses the Verilog language, can generate sine and cosine signals dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:5960
    • 提供者:王丽
  1. matlab-gmsk

    0下载:
  2. 基于matlab和vhdl的通信原理gmsk调制算法,主要包括GMSK相位路径的计算,GMSK眼图的仿真以验证相位计算的正确性,正余弦表的量化及bin文件的生成,以及用VHDL硬件语言所描述的基于EPM7128的地址逻辑.-Matlab and vhdl based on the principle gmsk Modulation of communication, including GMSK phase path calculation, GMSK eye diagrams of the s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:460926
    • 提供者:zenpging
  1. LPC213X_ARM_FFT_IFFT_VC6.0_proteus

    0下载:
  2. 内附使用说明,基于LPC213X ARM的FFT程序,ADS1.2工程,带proteus仿真,精确测量输入信号频率,带labvieFFT/IFFT仿真,VC6工程正余弦参数表生成程序,VC6工程的FFT/IFFT DLL库-with README inside,FFT program, ADS1.2 project LPC213X ARM-based, with proteus simulation, accurate measurement of the frequency of the in
  3. 所属分类:SCM

    • 发布日期:2017-11-10
    • 文件大小:4843599
    • 提供者:电子通
  1. cost

    0下载:
  2. 余弦信号发生器 在QUARTUS上生成余弦波 用于产生跟正弦正交的信号-Cosine signal generator cosine wave is used to produce with sinusoidal quadrature signal generated in the Quartus on
  3. 所属分类:Other systems

    • 发布日期:2017-11-11
    • 文件大小:914
    • 提供者:百合
  1. table-for-sin-functionof-

    0下载:
  2. DDS中的正余弦生成,初始相位相差90度,可自行改变输出频率-Cosine generation of DDS, the initial phase difference of 90 degrees, the output frequency can be changed on their own
  3. 所属分类:matlab

    • 发布日期:2017-05-02
    • 文件大小:733769
    • 提供者:hdl
  1. sin_rom

    0下载:
  2. 在rom文件中生成正弦波COE文件,在Matlab中生成正余弦波形的浮点值,并量化为16bit定点波形数值- Generate sine wave COE file in rom file
  3. 所属分类:software engineering

    • 发布日期:2017-05-04
    • 文件大小:11557
    • 提供者:缪国锋
  1. sincos-generator

    0下载:
  2. 用C语言编写的用于生成通讯信号电源正余弦波形的程序-Programed using C to generate sin/cos wave data for communication power supply
  3. 所属分类:Other systems

    • 发布日期:2017-05-05
    • 文件大小:48333
    • 提供者:gentleman
  1. cordic

    0下载:
  2. 生成正余弦函数,根据cordic算法可以生成sin和cos(Generating sin or cos function)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:11760640
    • 提供者:ixupeng
  1. sincos

    0下载:
  2. 实现正余弦函数Verilog语言的生成...............(sine wave generator by using verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:774144
    • 提供者:zhangchaoruo
  1. ADC_Data_Recv_Module

    2下载:
  2. 接收机测试输入信号, 生成正余弦波,采样率、频率、幅度、相位可调节 并将生成的数据进行输出 压缩包包括Verilog代码、testbench代码、word文档 matlab仿真代码(The receiver tests the input signal, Generation of positive cosine wave, sampling rate, frequency, amplitude, phase can be adjusted And output the generated da
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:512000
    • 提供者:nokkk
搜珍网 www.dssz.com