CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波 幅度

搜索资源列表

  1. thefrequencymeterdesign

    0下载:
  2. 简易数字频率计题解.( 1997年 B 题 ) 编写与讲解人:田良(东南大学无线电系,2003年3月12日) 一)任务 设计并制作一台数字显示的简易频率计。 (二)要求 1.基本要求 (1)频率测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测试误差≤0.1% (2)周期测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:356317
    • 提供者:caorui
  1. xinhaofashengqi.rar

    0下载:
  2. 简易信号发生器,可产生正弦波、方波、三角波,幅度、频率都可调节。,Simple signal generator can produce sine, square, triangle wave, amplitude, frequency adjustment can be.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:243412
    • 提供者:苏维
  1. danpianji.rar

    0下载:
  2. 单片机设计波形发生器,包括方波正弦波锯齿波,可调幅度和频率,Waveform generator single-chip design, including the square-wave sine wave sawtooth, adjustable amplitude and frequency
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:14921
    • 提供者:liuyue
  1. 51DDS

    0下载:
  2. 用51单片机模拟dds产生正弦波,方波,三角波,幅度频率可控。-Dds generated using 51 MCU simulation sine, square, triangle wave, amplitude frequency control.
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:26135
    • 提供者:王风存
  1. wavegenerator

    0下载:
  2. 开发环境为QuartusII,能产生正弦波、三角波、方波和锯齿波,幅度为5V,采样为8位,在开发板已经验证通过,有详细的波形图和管脚分配图。-Development environment for QuartusII, can generate sine wave, triangle wave, square wave and sawtooth wave, ranging from 5V, sampling for 8, in the development board has to verif
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:498394
    • 提供者:李海明
  1. DDS-baseddesignofthesinusoidalsignalgenerator

    0下载:
  2. 本设计采用AT89552单片机,辅以必要的模拟电路,实现了一个基于直接数字频率合成技术(DDS)的正弦谊号发生器。设计中采用DDS芯片AD9850产生频率1KHZ~10MHZ范围内正弦波,采用功放AD811控制输出电压幅度, 由单片机AT89S52控制调节步进频率1HZ。在此基础上,用模拟乘法器MC1496实现了正弦调制信号频率为1KHZ的模拟相度调制信号;用FPGA芯片产生二进制NRZ码,与AD9850结合实现相移键控PSK、幅移键控ASK、频移镇键FSK。-AT89552 the singl
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:209208
    • 提供者:何蓓
  1. Virtual_Oscilloscope

    0下载:
  2. 采用MFC实现的虚拟示波器界面,类似于常见的数字示波器显示面板; 可显示方波、正弦波及三角波三种波形不同参数的信号波形 对于方波可设置方波周期、占空比、幅度等参数; 对于正弦波可设置频率、幅度、相位参数; 对于三角波可设置周期、幅度参数; 三种波形可以同时存在,且所有波形均会随时间动态移动-MFC using the achievement of the virtual oscilloscope interface, similar to common digital osc
  3. 所属分类:Other systems

    • 发布日期:2017-03-25
    • 文件大小:53914
    • 提供者:linlookfor
  1. sdfdf

    0下载:
  2. 设计并制作一台数字显示的简易频率计。 (二)要求 1.基本要求 (1)频率测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测试误差≤0.1 (2)周期测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测试误差≤0.1 键盘从上到下,从左到有依次为: 1 2 3 4 5 6 7 8 9 0 .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:338410
    • 提供者:wangqiang
  1. boxingfashengqi

    1下载:
  2. 这是我用VC++编写的一个基于PC机的任意函数信号发生器的上位机程序,并附上了下位机程序。实践表明能产生幅度和频率可调的正弦波,方波,三角波等。-This is what I use VC++ to prepare a PC-based signal generator, arbitrary function of the host computer program, together with procedures for the next crew. Practice shows that
  3. 所属分类:GUI Develop

    • 发布日期:2014-09-20
    • 文件大小:4125837
    • 提供者:libin
  1. DAC0832

    0下载:
  2. 设计一个函数信号发生器,具体指标如下: 1 采用AT89S51及DAC0832设计函数信号发生器; 2 输出函数信号为正弦波或三角波或阶梯波; 3 输出信号频率为100Hz,幅度0-10V可调; 4 必须具有信号输出及外接电源、公共地线接口 -Design a function signal generator, the specific indicators as follows: 1 using AT89S51 and design function signal ge
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:593071
    • 提供者:郭晨
  1. zju-61MCU-code

    0下载:
  2. 本文件夹包含浙江大学信电系暑期电子设计培训时以及校赛时的几个项目的代码,包括波形发生器,集成运算放大器,简易数字显示声音信号采集测量仪的设计,数码管红外遥控显示,温度测量PWM模拟控制LED等。采用的单片机为凌阳SP061A单片机。-This folder contains a letter, Zhejiang University, Department of Electrical Electronic Design training in the summer and school even
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:723762
    • 提供者:金心宇
  1. design

    0下载:
  2. 产生不同频率、不同幅度的两种正弦波信号 对两个信号进行叠加并做频谱分析 还原原来两个信号(LPF)-Produce different frequency, the two sine wave signals of different magnitudes of the two signals are superimposed and do spectral analysis to restore the original two signals (LPF)
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:1248
    • 提供者:lanzl
  1. xiaobofenxi

    0下载:
  2. 小波工程主要包括一两部分:一、连续小波分析的应用,二、离散小波分析的应用。下面分两个部分分别说明这两个程序的分析结果: 一、连续小波分析: 所用信号为自己构造的三角波和正弦波叠加的信号:s=(-1).^(floor(n/50)+1).*(mod(n,50)-25)*2-(-1).^(floor(n/17)+1).*(mod(n,17)-8.5)*3+1*cos(n/2)*10。其中第一项(-1).^(floor(n/50)+1).*(mod(n,50)-25)*2为一周
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:336623
    • 提供者:夏英杰
  1. dds

    0下载:
  2. 块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据dds频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。 -In the programming step, the electronic
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:4849
    • 提供者:李彦伟
  1. DSGsetup

    0下载:
  2. 具有产生正弦波、方波、三角波三种周期性的波形。 用键盘输入编辑生成上述三种波形(同周期)的线性组合波形,以及由基波及其谐波( 5次以下)线性组合的波形。 具有波形存储功能。 输出波形的频率为100Hz~20KHz(非正弦波频率按10次谐波计算):重复频率可调,频 率步进间隔≤100Hz。 输出波形幅度范围0~5V(峰-峰值),可按步进0.1V(峰-峰值)调整。 具有显示输出波形的类型、重复频率(周期)和幅度的功能。 - This design ha
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-10
    • 文件大小:2322768
    • 提供者:王林
  1. DA

    0下载:
  2. 利用DAC0832的单片机输出正弦波信号,高精度,频率、幅度可调 -DAC0832 microcontroller using the output sine wave signal, high precision, frequency, amplitude adjustable
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-03
    • 文件大小:852
    • 提供者:lidong
  1. dds

    0下载:
  2. 基于vhdl的dds信号发生器,可产生方波,三角波,正弦波,幅度,频率,相位可调-The signal generator based on VHDL DDS, can produce square wave, triangle wave, sine wave, amplitude, frequency, phase can be adjusted
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1627883
    • 提供者:
  1. fudu

    0下载:
  2. stm32实现正弦波幅度测量 用的是外部中断实现的-measure range for sine wave use stm32
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-02
    • 文件大小:3991
    • 提供者:wangfuwei
  1. DDS-program

    0下载:
  2. DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据 dds 频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。-The chips mainly includes DDS frequen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:24819
    • 提供者:林春权
  1. DAC0832

    2下载:
  2. 信号发生器 可生成阶梯波 方波 三角波 正弦波 频率和幅度可调 1602显示 proteus+C51源码(Jie Tibo can generate triangular square wave sine wave Adjustable frequency and magnitude 1602 display Proteus+C51 source code)
  3. 所属分类:单片机开发

    • 发布日期:2020-09-24
    • 文件大小:116736
    • 提供者:xuzhengqing
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com