CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦 vhdl

搜索资源列表

  1. VHDL

    0下载:
  2. 此代码可产生正弦波、三角波、正斜率拨、负斜率波波、矩形波五种波形
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:1678
    • 提供者:刘三平
  1. VHDL

    0下载:
  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9404
    • 提供者:zhanyi
  1. dds正弦发生器代码

    0下载:
  2. 讲述了dds直接数字频率合成的基本原理,同时用VHDL语言编写dds原代码用于生成正弦波,并在ISE开发平台进行仿真和MATLAB验证正弦波输出结果-described dds direct digital frequency synthesis of the basic tenets addition to the use of VHDL prepared dds source used to produce sine, and ISE development platform for sim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:491278
    • 提供者:czy
  1. 波形发生器VHDL语言

    0下载:
  2. 能产生正弦波,三角波,方波,阶梯波,并且频率可调..
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-03-25
    • 文件大小:417860
    • 提供者:519201374
  1. VHDL设计的相关实验,包括4位可逆计数器

    0下载:
  2. VHDL设计的相关实验,包括4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现。-VHDL design of experiments, including four reversible counters, four reversible binary code- Gray code converter design, the sequence detector design, the ROM-based sine w
  3. 所属分类:软件工程

    • 发布日期:2016-01-27
    • 文件大小:49152
    • 提供者:张联合
  1. VHDL-ROM4.基于ROM的正弦波发生器的设计

    0下载:
  2. 基于ROM的正弦波发生器的设计:1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 ,ROM-based design of the sine wave generator: 1. Sinusoidal waveform generator by the data storage module (ROM), wav
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-27
    • 文件大小:98211
    • 提供者:宫逢源
  1. learn_dds.基于quartus ii 9.0的简易dds波形发生器

    2下载:
  2. 基于quartus ii 9.0的简易dds波形发生器,可以产生正弦,方波,三角波,可变幅,可变频。非常适合学习使用,使用时请按自己的芯片和引脚设置,Quartus ii 9.0 Based on dds simple waveform generator can produce sine, square, triangle wave can be amplitude, frequency can be. Very suitable for learning to use, when used
  3. 所属分类:VHDL编程

    • 发布日期:2016-04-29
    • 文件大小:732127
    • 提供者:陈东旭
  1. wave.rar

    0下载:
  2. 本程序采用的VHDL语言,分别实现:递增锯齿波递减锯齿波 三角波 阶梯波 方波正弦波 数据选择器.,This procedure used in VHDL language, respectively, to achieve: increased sawtooth ladder descending sawtooth wave square wave triangle wave sine wave data selector.
  3. 所属分类:Graph Drawing

    • 发布日期:2017-04-01
    • 文件大小:1369
    • 提供者:程冬水
  1. DDS.rar

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:312334
    • 提供者:
  1. dds(heli).rar

    0下载:
  2. DDS用verilog 实现,可以实现方波、正弦和三角,DDS using verilog realized, can be square wave, sinusoidal and triangular
  3. 所属分类:Graph Drawing

    • 发布日期:2017-03-24
    • 文件大小:427879
    • 提供者:qian
  1. VHDL.rar

    0下载:
  2. 正弦信号发生器具有频率调节功能。采用VHDL编程实现。,Sinusoidal signal generator with a frequency adjustment function. Using VHDL programming.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1482420
    • 提供者:朱广利
  1. DAC.rar

    0下载:
  2. 信号发生器 控制DAC输出最高100M方波、三角波、正弦波,function generator
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:914453
    • 提供者:wpc
  1. vhdl

    1下载:
  2. 本设计中应用硬件描述语言Verilog HDL描述相位累加器,相位调制器,正弦波、方波、三角波、心电波形四个独立的波形存储器,并描述频率控制、相位控字、幅度控制单元及波形切换等相关的功能单元。-Application of the design described in Verilog HDL hardware descr iption language phase accumulator, phase modulator, sine, square, triangle wave, the fo
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-03
    • 文件大小:4254
    • 提供者:kelly
  1. sin.rar

    0下载:
  2. 用Verilog语言在FPGA内实现一256个采样点的正弦波,已尝试,挺好用的~~~,Verilog language used in the FPGA to achieve one of the 256 sampling points sine wave, I have already tried it, very useful~ ~ ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:105104
    • 提供者:tianwaifei86
  1. dds_vhdl

    0下载:
  2. dds的vhdl实现,主要包括正弦波、三角波和锯齿波的产生-dds achieve the VHDL, including sine, triangle wave, and the selection ramp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:785
    • 提供者:xxx
  1. FPGA_signal_general

    0下载:
  2. 摘 要:介绍了直接数字频率合成 (DDS) 技术的基本原理,给出了基于Altera公司FPGA器件的一个三相正弦信号发生器的设计方案,同时给出了其软件程序和仿真结果。仿真结果表明:该方法生成的三相正弦信号具有对称性好、波形失真小、频率精度高等优点,且输出频率可调。 关键词:直接数字频率合成;现场可编程门阵列;FPGA;三相正弦信号-Abstract: Direct Digital Synthesis (DDS) technology, the basic principles are giv
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:102056
    • 提供者:赵文
  1. VHDL

    0下载:
  2. DDS产生正弦波(VHDL语言)用DDS产生3MHZ的正弦波,VHDL控制语言-DDS have a sine wave (VHDL language) 3MHZ generated by the DDS sine wave, VHDL control language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:640
    • 提供者:chenyubin
  1. dds

    0下载:
  2. fpga利用dds原理,产生正弦波,简单实用,成本低-fpga using dds principle, have a sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:571294
    • 提供者:wangjian
  1. VHDL

    0下载:
  2. 利用VHDL实现任意函数发生器,包括方波、正弦波、三角波等。-The use of VHDL to achieve arbitrary function generator, including square, sine wave, triangle wave and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:40212
    • 提供者:陈海巍
  1. VHDL(sin)

    0下载:
  2. 基于ROM的正弦波发生器的设计 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习基于ROM的正弦波发生器的设计 二.实验内容 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 -ROM-based
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:17414
    • 提供者:爱好
« 12 3 4 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com