CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位相加 乘法器

搜索资源列表

  1. add_multi

    1下载:
  2. 移位相加硬件乘法器,基于FPGA的VHDL语言编写的,含有全部文件-displacement add hardware multiplier, based on FPGA VHDL prepared, containing all the documents
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3466
    • 提供者:相耀
  1. expt91_multi8x8

    1下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA移位相加硬件乘法器
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:35740
    • 提供者:多幅撒
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. 8

    1下载:
  2. 移位相加8位硬件乘法器电路设计 乘法器是数字系统中的基本逻辑器件,在很多应用中都会出现如各种滤波器的设计、矩阵的运算等。本实验设计一个通用的8位乘法器。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:5487
    • 提供者:jun
  1. mult

    0下载:
  2. 移位乘法器的输入为两个4位操作数a和b,启动乘法器由stb控制,clk信号提供系统定时。乘法器的结果为8位信号result,乘法结束后置信号done为1. 乘法算法采用原码移位乘法,即对两个操作数进行逐位的移位相加,迭代4次后输出结果。具体算法: 1. 被乘数和乘数的高位补0,扩展成8位。 2. 乘法依次向右移位,并检查其最低位,如果为1,则将被乘数和部分和相加,然后将被乘数向左移位;如果为0,则仅仅将被乘数向左移位。移位时,被乘数的低端和乘数的高端均移入0.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:130213
    • 提供者:良芯
  1. qfq.rar

    0下载:
  2. 移位相加乘法器设计。附有工程实例及ppt说明。,Add multiplier design shift. Ppt with example and descr iption.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1726903
    • 提供者:fddib
  1. multi8x8

    0下载:
  2. 该源码为8位乘法器的VHDL语言描述,由一个8位右移寄存器,2个4位加法器例化成8位加法器,一个16位数据锁存器构成。采用移位相加的方式,从被乘数的低位开始,与乘数的每个位移位相加求和。最后实现其乘法器功能。-The source code for the 8-bit multiplier in VHDL language to describe, from an 8-bit right shift register, two 4-bit adder example into 8-bit add
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:393061
    • 提供者:feng
  1. multiplyingunit

    0下载:
  2. 其乘法器原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位-Its multiplier principle is: the sum of multiplication through each shift principle to achieve, from the lowest bit multiplicand to start, if 1, then the multiplier on the l
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:137159
    • 提供者:张华
  1. EDA

    1下载:
  2. 移位相加8位硬件乘法器电路设计,该乘法器是由8位加法器构成的以时序方式设计的8位乘法器。-Add 8-bit hardware multiplier shift circuit design, the multiplier is composed of 8-bit adder to temporal order, 8-bit multiplier design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:34574
    • 提供者:zhangyue
  1. mul

    0下载:
  2. 加法器树乘法器结合了移位相加乘法器和查找表乘法器的优点。它使用的加法器数目等于操作数位数减 1 ,加法器精度为操作数位数的2倍,需要的与门数等于操作数的平方。 因此 8 位乘法器需要7个15位加法器和64个与门-Adder tree multiplier multiplier combination of shift and add multiplier advantage of look-up table. It uses the adder operand is equivalent to
  3. 所属分类:Other systems

    • 发布日期:2017-03-23
    • 文件大小:565
    • 提供者:肖毅
  1. EP1C3_91_MULTI8X8

    0下载:
  2. 移位相加硬件乘法器设计 程序设计与硬件实验-Add hardware multiplier shift programming and hardware design experiment
  3. 所属分类:Compiler program

    • 发布日期:2017-03-29
    • 文件大小:40993
    • 提供者:小欧
  1. multiplier

    1下载:
  2. 该乘法器是由8位加法器构成的以时序方式设计的8位乘法器。 其乘法原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位。-The multiplier is 8-bit adder consisting of time-series design to the 8-bit multiplier. The multiplication principle is: the sum of multiplica
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:103487
    • 提供者:lsp
  1. FPGA

    1下载:
  2. 基于FPGA数字乘法器的设计:数字乘法嚣是目前数字信号处理中运用最广泛的执行部件之一,本文设计了三种基于FPGA 的数字乘法器.分别是移位相加乘法嚣、加法器树乘法器和移位相加一加法嚣树混合乘法器。通过对三种方案的仿真综合以厦速度和面积的比较指出了混合乘法器是其中最佳的设计方案-FPGA-based digital multiplier design: the number of multiplicative noise is the use of digital signal processin
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:147296
    • 提供者:南才北往
  1. post_norm_mul

    0下载:
  2. 符合IEEE754标准的32位浮点流水线乘法器 采用移位相加算法,-32-bit floating point pipeline multiplier on IEEE754 standard
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-29
    • 文件大小:2705
    • 提供者:Thomas
  1. multi8x8

    0下载:
  2. 用VHDL设计应用移位相加原理的8位乘法器,使用QuartusII仿真验证。-VHDL design applications with the principle of adding 8-bit shift multiplier, using QuartusII simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:6372
    • 提供者:李晓
  1. multiplier

    0下载:
  2. 采用移位相加方法设计的串行乘法器,具有握手信号(输入启动信号,输出完成信号),采用状态机方法设计的源代码。-A serial multiplier with a handshake signals (input start signal, the output completion signal), designed by adder and shifter using a state machine.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:752
    • 提供者:
  1. 8multipler

    0下载:
  2. 用VHDL实现8位移位相加乘法器,从被乘数的最低位开始,若为1,则乘数左移后与上次的和相加;若为0,左移后以全0相加,直至被乘数的最高位。-VHDL 8-bit shift by adding the multiplier to achieve, starting from the lowest multiplicand, if 1, then left after the multiplier and add the last if 0, left after adding all 0, u
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1268
    • 提供者:ruanxioafei
  1. multiply_shift_add

    0下载:
  2. 基于移位相加运算的乘法器设计,multiply_shift_add中包含了完整的设计工程文件,可在Xilinx ISE中运行-Adding operation based on shift on time-multiplier design, multiply_shift_add contains the complete design engineering documents, Xilinx ISE in running
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1067855
    • 提供者:chenlan
  1. mul_ser12

    0下载:
  2. 本源码是用Verilog编写的12位移位相加乘法器的设计源码,开发软件为MAX+PLUS,已经测试通过。-The Verilog source code is written in the sum of 12-bit shift multiplier design source code, developing software for the MAX+ PLUS, has been tested.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:305555
    • 提供者:Aaran
  1. 8bit-Shift-and-Adder--multiplier

    0下载:
  2. 8位乘法器,经移位相加算法来实现的,用的VHDL语言-8-bit multiplier, adding the algorithm to realize the shift of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:584933
    • 提供者:Aaran
« 12 »
搜珍网 www.dssz.com