CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 脉冲 发生器

搜索资源列表

  1. simu

    1下载:
  2. DS-UWB的仿真框图,误码率仿真,脉冲发生器,ook和bpsk调制,以及rake接收等-the simulation graph of DS-UWB,it includes the BER and pulse generation,ook and bpsk modulation and rake receiver.
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:25278
    • 提供者:陈立琴
  1. app_8_way_nalyzer_with_90S1200_by_paul

    0下载:
  2. 基于AT90S1200芯片 (16MHz),源代码为汇编语言。 用户界面软件 LOLA.EXE 用途逻辑分析仪。PGEN.EXE用作脉冲发生器。均使用 delphi 编程。 提供了16位版本(LoLA16.EXE, PGen16.EXE),适用Windows 3.1/3.11. 32位版本(LoLA32.EXE, PGen32.EXE)适用于 Windows 9x.-on AT90S1200 chip (16MHz), the source code for the assembler
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:539988
    • 提供者:许海洋
  1. 双路脉冲发生器(veralog)

    0下载:
  2. Verilog HDL 程序 双路脉冲发生器的代码 包含了键盘控制,LED显示,脉冲发生,脉冲频率测量模块 是我自己写得,希望能对你有帮助,有问题可以mail:shaojunwu1@163.com-Verilog HDL dual-channel pulse generator procedure code includes a keyboard control, LED display, pulse, pulse frequency measurement module is wr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4210
    • 提供者:邵君武
  1. Single_Pulse

    0下载:
  2. 单个脉冲发生器的multisim9仿真文件-single pulse generator simulation document multisim9
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:282694
    • 提供者:欧阳菲菲
  1. 9.1_ONE_PULSE

    0下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.1 简单的可编程单脉冲发生器   9.1.1 由系统功能描述时序关系   9.1.2 流程图的设计   9.1.3 系统功能描述   9.1.4 逻辑框图   9.1.5 延时模块的详细描述及仿真   9.1.6 功能模块Verilog-HDL描述的模块化方法   9.1.7 输入检测模块的详细描述及仿真   9.1.8 计数模块的详细描述   9.1.9 可编程单脉冲发生器的系统仿真
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4432
    • 提供者:宁宁
  1. 脉冲发生器

    0下载:
  2. 脉冲发生器程序,LCD显示,键盘操作
  3. 所属分类:驱动编程

  1. maichong

    0下载:
  2. 这是我在ISP编程课上独立编写的一个采用模块化+行为描述方式实现的可控脉冲发生器。程序有四个并行模块:减数器&控制模块(用于设置发生脉冲数量并记数,同时产生控制信号)、脉冲发生模块(用于接受控制信号并产生脉冲输出)、脉冲接收模块(用于接收脉冲输出并记录脉冲个数)、显示模块(将接受模块记录到的脉冲总数显示到数码管上)。此程序是我从画逻辑结构图开始一步步独立开发的,并没有参考或仿照网络上的其他脉冲发生器源码,因此与网络上任何其他可控脉冲发生器的源码决无雷同。-err
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:69468
    • 提供者:daisichong
  1. maichong2

    0下载:
  2. 长度可以控制的脉冲发生器,实际使用过,VHDL编写,放心下载-pulse generator,good choice.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:922
    • 提供者:吴次仁
  1. 8259

    0下载:
  2. 用单脉冲发生器的输出脉冲作为中断源,每按一次按键产生一次中断申请,中断服务程序将在实验箱的显示屏显示一个字符“R”-Single-pulse generator output pulse as interrupt sources, each generated by a disruption of a key application for interrupt service routine to the display box in the experiment shows a charact
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:4325
    • 提供者:黄赛杰
  1. pulse_generator

    0下载:
  2. 本文件时关于脉冲发生器的设计-This document on the design of pulse generator
  3. 所属分类:File Formats

    • 发布日期:2017-04-04
    • 文件大小:6896
    • 提供者:靖书磊
  1. mcfsqdsp3

    0下载:
  2. 一种脉冲发生器的实现,可用于PWM控制中-The realization of a pulse generator can be used for PWM control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1279
    • 提供者:gcm
  1. svc_timer33ms

    0下载:
  2. Verilog 下脉冲发生器的源代码,可用于模拟三相交流电过零点,主要用于调试一些类似SVC(无功补偿)控制器的一些算法-Pulse generator under the Verilog source code, can be used to simulate three-phase alternating current zero-crossing point, mainly for debugging similar SVC (reactive power compensation) co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:763523
    • 提供者:huangyongbing
  1. ATmega16VariablePulse

    0下载:
  2. ATmega16单片机可变脉冲发生器C语言范例-ATmega16 variable single-chip pulse generator C language examples
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:1006027
    • 提供者:jongshun
  1. pusle-generator

    0下载:
  2. 根据Jean J. Labrosse的dio嵌入式构件,自己编写的一个脉冲发生器。结构简洁,注释清晰,可供编程者参考。-According to Jean J. Labrosse embedded component of the dio, I have written a pulse generator. Simple, clear notes for programming reference.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:11555
    • 提供者:zhuxiaosan
  1. m

    0下载:
  2. m序列发生器及其自相关 m序列构成的信号(矩形脉冲) m序列sinc成形信号的自相关-m-sequence generator and its associated m-sequences formed from the signal (rectangular pulse) m sinc sequence forming signal autocorrelation
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:1308
    • 提供者:王飞
  1. 时序脉冲发生器

    0下载:
  2. 本程序由AVR ATmega16单片机运行实现以下功能,触发时间范围100ms~5min,触发周期10ms~50min,触发通道1~12通道任意可调,广泛运用在工业脉冲阀控制器中,能够全面运用在各类脉冲控制场合。(This program is run by AVR ATmega16 microcontroller to achieve the following functions, trigger time range of 100ms~5min, trigger cycle 10ms~50
  3. 所属分类:单片机开发

    • 发布日期:2018-01-05
    • 文件大小:55296
    • 提供者:老茂
  1. NE555

    0下载:
  2. 使用的是普中科技HC6800-EM3 V3.0 下载程序后,按照视频操作正确接线,数码管显示接收到的脉冲频率(After the program is downloaded, the digital tube displays the received pulse frequency according to the correct connection of the video operation.)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-06
    • 文件大小:39936
    • 提供者:修川雪贺
  1. RIGOL信号发生器用户手册

    1下载:
  2. DG4000系列 任意函数/波形发生器 函数发生器、任意波形发生器、脉冲发生器、谐波发生器等
  3. 所属分类:其它资源

  1. 基于FPGA的多路同步脉冲发生器设计1

    0下载:
  2. 采用FPGA(现场可编程门序列)编写VHDL语言设计多路同步脉冲发生器,对信号进行分频处理,实现四路信号相位相差T/16和T/8的延迟相位输出,实现的四路脉冲与传统的脉冲同步器不同,它具有高集成度,高通用性,容易调整和高可靠性等特点。(Using FPGA (field programmable gate sequence) to write VHDL language to design multi-channel synchronous pulse generator, to divide
  3. 所属分类:VHDL/FPGA/Verilog

  1. quartus4节拍脉冲发生器

    0下载:
  2. 利用d触发器实现单周期的4节拍脉冲发生器
  3. 所属分类:VHDL编程

« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com