CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动售货

搜索资源列表

  1. shouhuoji

    1下载:
  2. 自动售货机源代码1. 自动售货机能销售三种商品:热狗1元、汉堡包2元、双层汉堡3元。设数量无限。 2. 自动售货机允许投入1元、2元、5元硬币。当总币值等于顾客需要的商品单价时,机器送出需要的商品,若大于时,机器除提供所需商品外,并将余币退出;若小于,则退出顾客投入的硬币,设计其内1元和2元的找零硬币无限。 -vending machine a source code. Vending machines sell three commodities : 1 yuan hot dogs,
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:50852
    • 提供者:ju
  1. SELLER

    1下载:
  2. 基于verilog HDL的自动售货机控制电路设计: 可以对5种不同种类的货物进行自动售货,价格分别为A=1.00,B=1.50,C=1.80,D=3.10,E=5.00 。售货机可以接受1元,5角,1角三种硬币(即有三种输入信号IY,IWJ,IYJ),并且在一个3位7段LED(二位代表元,一位代表角)显示以投入的总钱数,最大9.90元,如果大于该数值,新投入的硬币会退出,选择货物的输入信号Ia,Ib,Ic,Id,Ie和一个放弃信号In,输出指示信号为 Sa, Sb ,Sc ,Sd, Se
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1776
    • 提供者:chenyi
  1. Simplevendingmachine

    0下载:
  2. 简单自动售货机, c51单片机程序,可以实现简单的自动售货功能。-Simple vending machine, c51 single-chip process, can be a simple function of the automatic vending.
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1531
    • 提供者:王斌
  1. MDB-ICP-Version-3.0

    0下载:
  2. MDB/ICP通信协议,应用在自动售货机上的~加了书签-MDB/ICP protocol- labeling
  3. 所属分类:Communication

    • 发布日期:2017-03-31
    • 文件大小:493656
    • 提供者:
  1. autoseller

    0下载:
  2. (1)、自动售货机可以出售4种货物,每种商品的数量和单价在初始化时设定,并存储在存储器中; (2)、采用模拟开关分别模拟5角和一元的硬币进行购物,并通过按键来选择商品; (3)、系统能够根据用户输入的硬币,判断钱币是否够,当所投硬币达到或超过购买者所选面值时,则根据顾客要求自动售货,并找回剩余的硬币,然后回到初始状态。当所投硬币不够时,则给出提示,并通过一个复位键退回所投硬币,然后回到初始状态。 -(1), vending machines can sell four kinds o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3215
    • 提供者:秦发斌
  1. java

    0下载:
  2. 基于java的自动售货机,可自动分辨硬币,选择饮料-Java-based vending machine, can automatically distinguish coins, choice of beverage
  3. 所属分类:Java Develop

    • 发布日期:2017-04-13
    • 文件大小:2686
    • 提供者:sunguochen
  1. 11

    0下载:
  2. 自助商店管理系统 基于VC++平台实现 商店的自动售货等-Self-help store management system is based on VC++ platform, such as stores Vending
  3. 所属分类:Applications

    • 发布日期:2017-04-13
    • 文件大小:2537
    • 提供者:王天龙
  1. sellandsong

    0下载:
  2. 自动售货程序,用verilog实现,用于自动售货机的用途。还有一个歌曲的程序-Auto sales process, with the realization of verilog for the use of vending machines. There is also a program of songs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1052
    • 提供者:qian
  1. autosail

    1下载:
  2. 本设计可实现欧姆龙PLC的自动售货功能,并带有零钱自动退出功能,有实际意义。工程要求已在其中。-The design can be automated Omron PLC sales functions, and with an automatic logoff feature change, and practical significance. In which engineering requirements.
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:7370
    • 提供者:赵继东
  1. Autoseller

    0下载:
  2. 基于VHDL开发的自动售货机系统,可实现自动售货过程中的基本功能,具有一定的代表性。-VHDL-based development of a vending machine system which can automatically process the basic functions of sales, with a certain representativeness.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5194
    • 提供者:Joseph
  1. zdshj

    0下载:
  2. 自动售货机控制系统设计 要求: 设计制作一个自动售货机控制系统。 该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。 系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。 系统自动的计算出应找钱币余额、库存数量并显示。 -Vending machine control system desig
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:67212
    • 提供者:dws
  1. Automachine_project

    0下载:
  2. verilog 语言写的自动售货机程序,系IC课程设计代码,QUARTUS -verilog language written in a vending machine program, the Department of IC curriculum design code, QUARTUS II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:418912
    • 提供者:Zeng jinqiang
  1. shouhuoji

    0下载:
  2. 自动售货机,投币自动售货 MaxplusII下运行-Vending machines, coin-operated automatic vending MaxplusII run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1736
    • 提供者:罗利娜
  1. PL_auto1

    0下载:
  2. 自动售饮料控制器 (1) 该系统能完成货物信息存储、进程控制、硬币纸币处理、余额计算和显示等功能; (2) 该系统可以销售20种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用1元和5角的硬币以及20元、10元、5元、1元纸币进行购物,按键进行选择。 (3) 系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。 (4) 系统自动计算出应找钱币余额和库存数量并显示。 -Beverage vending contro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1484
    • 提供者:吴刚
  1. shouhuojixi1

    0下载:
  2. 自动邮票售货机,选择要购买的邮票,直接投入硬币就可以购买。(Automatic stamp vending machine, select the stamps to buy, directly into coins can buy.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1732608
    • 提供者:阿茉
  1. FPGA自动售货

    0下载:
  2. 设计一个自动售货机控制系统。该系统能完成对货物信息的存储、进程控制硬币处理、余额计算、显示等功能。可以管理4种货物,每种货物的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行货物选择,售货时能够根据用户输入的货币来判断钱币是否足足够,钱币够则根据顾客要求自动售货,钱币不够则给出提示并退出;能够自动计算出应找的钱币余额、库存数量并显示。(Design a vending machine control system. The system can complete the
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-24
    • 文件大小:10240
    • 提供者:daolangliu
  1. OUMA自动售货

    0下载:
  2. 自动售货机exe文件及源码,C语言编写,简单易学(Vending machine EXE file and source code)
  3. 所属分类:其他

    • 发布日期:2018-01-06
    • 文件大小:44032
    • 提供者:帥樂
  1. 自动售货机系统

    0下载:
  2. 该自动售货系统的功能可分为两类。对于管理员,可建立库存信息,查询商品销售量及销售总额;而对于消费者,可查询商品信息,购买商品等主要功能。(The function of the vending system can be divided into two categories. For the administrator, the inventory information can be established, the sales volume and the total sales amou
  3. 所属分类:其他

    • 发布日期:2019-01-13
    • 文件大小:2048
    • 提供者:qwerf
  1. 自动售货机修改后

    1下载:
  2. 简易的自动售货机,有售卖功能,展示所有商品,补货,盘点功能。 售卖功能有三种售卖方式,分别是微信支付宝现金,现金支付考虑找零和面额问题。展示商品展示所有的商品名 称价格序号以及当前售货机卖的总值。补货功能将所有缺的货物补满,盘点功能查看三种收货方式分别卖了多少钱。还有提醒功能,当某种货物少于三个或者零钱少于20,就会提醒。(Simple vending machine, with selling function, display all goods, replenishment, invent
  3. 所属分类:Java编程

    • 发布日期:2020-06-20
    • 文件大小:57344
    • 提供者:小刀儿
  1. 《PHP自动售货发卡网源码》[php]

    1下载:
  2. 自动收货发卡网源码,PHP5.6+MYSQL5.6(Source code of automatic receiving and issuing network,PHP5.6+MYSQL5.6)
  3. 所属分类:其他

    • 发布日期:2020-04-27
    • 文件大小:6075392
    • 提供者:sdfsdf1
« 12 3 »
搜珍网 www.dssz.com