CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 循环 VHDL

搜索资源列表

  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. stx_cookbook.zip

    1下载:
  2. Altera公司高端FPGA高级综合指导手册,包括:算术运算单元,浮点处理技巧,数据编码格式转换,视频处理,仲裁逻辑,多路选择,存储逻辑,计数器,通信逻辑,循环冗余校验,随机和伪随机函数,加密和同步等编码风格和技巧;,advanced synthesis cookbook for Altera high-end FPGA(Stratix),incuding coding style and design tricks for arithmetic,floating points oper
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:962193
    • 提供者:刘易
  1. VGA.rar

    1下载:
  2. VGA彩色信号控制器设计:用VHDL语言编写程序,重点完成三个功能: 1.棋盘格图案显示: 用三基色原理在CRT显示器上显示由横竖八彩条重叠构成的棋盘格图案; 2.在显示器上依次显示0~9十个数字: 每个数字不同颜色,每个显示大约0.4秒,循环显示; 3.显示动画效果: 将静态图像以高频率显示,造成动画效果,最终动态显示OVER结束。,VGA color signal controller design: using VHDL programming language, focusi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:185932
    • 提供者:刘峰
  1. crc

    0下载:
  2. 自己写的循环冗余校验,进行了仿真,整个工程都在!-Wrote it myself, cyclic redundancy check carried out a simulation, the whole project are in!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:435729
    • 提供者:
  1. cd

    1下载:
  2. 一个彩灯循环控制的VHDL程序,功能还可添加.-Cycle control of a lantern VHDL procedures, functions can also be added.
  3. 所属分类:MPI

    • 发布日期:2017-03-29
    • 文件大小:357607
    • 提供者:何情
  1. CRC

    0下载:
  2. 通过对于模2除法的研究 可以得到如下方法: 1. 把信息码后面加上p-1位的0,这个试验中p是6位,即在输入的信息码后面加上“00000”。把这个17位的被除数放入input中。 2. 在得到被除数input之后,设计一个在被除数上移动的数据滑块变量d,把input中的最高位开始逐次复制给变量d。 3. 如果d的最高位为1,由变量d和变量p做异或运算;如果d的最高位为0则不运算或者做多余的异或‘0’的运算。 4. 把滑块变量d往后滑动一位。 5. 循环步骤(3,4)
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-26
    • 文件大小:6033
    • 提供者:lijq
  1. led

    0下载:
  2. 用一个按钮开关循环控制四个led灯的闪烁方式,输入时钟10MHz,闪烁频率1Hz-Button switch with a four cycle control lights flashing led the way, the input clock 10MHz, blinking frequency of 1Hz
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:553
    • 提供者:liyinghe
  1. shuzifujieqi

    0下载:
  2. 主要给出准循环的LDPC码编码实现方法,译码方法选择,并给出了帧同步的解决方法-Give the main quasi-cyclic LDPC codes achieve coding method, decoding method of selection, and give the frame synchronization solution
  3. 所属分类:Communication

    • 发布日期:2017-04-23
    • 文件大小:181690
    • 提供者:chaiyiming
  1. bianma

    0下载:
  2. 使用QUARTUS2写的循环码编码器源代码-Writing of the use of cyclic codes QUARTUS2 encoder source code
  3. 所属分类:Compiler program

    • 发布日期:2017-04-06
    • 文件大小:14413
    • 提供者:lc
  1. bianma

    0下载:
  2. 基于VHDL设计的在quarters2上的循环码编码器-VHDL-based design at quarters2 on the cyclic code encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:13821
    • 提供者:lc
  1. cpu

    0下载:
  2. 用VHDL语言设计简单的CPU,重点设计微操作代码,然后设计CPU各组成模块,最后根据设计的微操作设计微指令,验证设计的正确性。可基本实现加、减、乘、除、移位、循环等操作。-VHDL language is designed to be simple to use the CPU, the focus of the design of micro-operation code, and then design the components of CPU module designed the f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1268588
    • 提供者:Rachel
  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:129279
    • 提供者:623902748
  1. crcsend

    0下载:
  2. 用vhdl代码实现循环冗余检验,CRC即Cycic Redundancy Check-Vhdl code used to achieve the cycle redundancy check, CRC that Cycic Redundancy Check
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:266124
    • 提供者:songbinghui
  1. crc

    0下载:
  2. 循环冗余校验码CRC的VerilogHDL源程序-CRC cyclic redundancy check code of the source VerilogHDL
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:683
    • 提供者:hh
  1. daima

    0下载:
  2. 状态机控制AD转换模块 该模块主要实现对MAX197的控制:根据设计需要对芯片进行初始化(包括写控制字选择输入电压值范围、选择通道以及工作模式),并把通道数送指示灯显示以及用键盘控制通道号(按一下,通道号加1,同时点亮相应的指示灯,循环使用个通道);控制状态机的工作时序,并置两次采集到的数据为12位数据输出,并经过锁存进程来锁存数据,最后从锁存器中把输出数据-The state machine controls AD and changes the module this module ma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2771
    • 提供者:万俟斌
  1. qsy1

    0下载:
  2. 音乐曲目循环演奏器 能够循环演奏编写的音乐乐谱-Music repertoire cycle is capable of playing music circle recital music preparation
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:4254
    • 提供者:qiushiyuan
  1. vhdl

    0下载:
  2. 循环码编译码程序,用c语言编程的 该for 循环计算码组的后3 个码元-Cyclic code encoding and decoding procedures, with c language programming cycle of the calculation of the code group for the three yards after the yuan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-14
    • 文件大小:13290
    • 提供者:小小
  1. fangzhen

    1下载:
  2. 卷积码和循环码的verilog编码以及仿真结果图,-Convolutional codes and cyclic codes and the coding verilog simulation results map
  3. 所属分类:Communication-Mobile

    • 发布日期:2014-05-21
    • 文件大小:16084
    • 提供者:小小
  1. caidengkongzhi

    0下载:
  2. 数字彩灯循环控制,根据输入的不同,来控制lED灯闪烁的时间。电路实现LED灯灭与亮的不同顺序。-Digital Lantern loop control, according to the different input to control light flashing lED time. Circuit bright LED lamp with a different order.
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:1018779
    • 提供者:
  1. LED

    0下载:
  2. 按下列顺序无限循环移动彩灯显示电路,LED灯总数为8个,具体循环次序如下:1.D7,D3,其余灭,右移三次后全灭 2。D4,D0亮,其余灭,左移三次后全灭 3。D3,D4亮,其余灭,各向两边移三次后全灭 4,D7,D0亮,其余灭,各向中间移三次后全灭 -----上述灯移动的间隔为0.5s-In the following order infinite loop mobile lantern display circuit, LED lights total of 8, th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:445438
    • 提供者:xizi
« 12 3 4 5 6 »
搜珍网 www.dssz.com