CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 16 QAM verilog

搜索资源列表

  1. 16QAM

    2下载:
  2. 16QAM调制与解调的Verilog语言的功能实现-the realization of 16QAM modulation and demodulation on Verilog language
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-07
    • 文件大小:311091
    • 提供者:由佳彬
  1. QAM

    1下载:
  2. 16qam调制器的FPGA实现。使用Verilog实现全数字16-QAM调制器。-16qam Modulator FPGA. Use Verilog for full digital 16-QAM modulator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-13
    • 文件大小:2075
    • 提供者:张维
  1. 16qam——modulation

    1下载:
  2. verilog编写的16qam调制程序,将所有东西装入工程,运行mmm16主程序。其中载波为一个周期采十个点,并乘以2^8-1取整数。在quartusII运行通过。-verilog modulation procedures 16qam prepared all things into works mmm16 to run the main program. One carrier for a cycle of 10 points taken, and multiplied by an inte
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5018
    • 提供者:王力宏
  1. QAM16_Demapping

    0下载:
  2. 用VERILOG写的解16qam程序。本来是针对OFDM设计的,有一定参考价值。-Solutions 16qam with VERILOG written procedures. Was originally designed for OFDM has some reference value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:153089
    • 提供者:米多
  1. 16QAM

    0下载:
  2. This Verilog HDL file for 16 QAM mapper-This is Verilog HDL file for 16 QAM mapper
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:758
    • 提供者:Abdur Rahim
  1. qam

    0下载:
  2. 16-QAM调制 Verilog code -16QAM Verilog code
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:823
    • 提供者:董永
  1. QAM-16-OFDM_Module

    0下载:
  2. QAM16-verilog code for OFDM module. includes mapping design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:896
    • 提供者:guruprasad sp
搜珍网 www.dssz.com