CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 16QAM verilog

搜索资源列表

  1. 16pam

    1下载:
  2. 用VERILOG语言实现16QAM的数字调制的程序,已经在ISE10.1版本中调试通过
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:7684671
    • 提供者:王莉
  1. 16qam 实现代码

    1下载:
  2. verilog编写的16qam调制程序,将所有东西装入工程,运行mmm16主程序。其中载波为一个周期采十个点,并乘以2^8-1取整数。
  3. 所属分类:源码下载

    • 发布日期:2010-11-09
    • 文件大小:2000000
    • 提供者:ly10613
  1. 16QAM_verilog 使用Verilog实现全数字的16QAM调制器

    2下载:
  2. 使用Verilog实现全数字的16QAM调制器,假设载波的频率为1MHz,数据比特率为100kbit/s.包括源代码和testbench-use verilog to realize 16qam,carrier frequency is 1MHz,data rate is 100kbit/s.including source code and testbench
  3. 所属分类:Modem编程

    • 发布日期:2017-03-22
    • 文件大小:749885
    • 提供者:fc
  1. VHDL.rar

    1下载:
  2. 16QAM调制器的Verilog HDL程序,可以实现16QAM调制,16QAM modulator Verilog HDL procedures, 16QAM modulation can be achieved
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:803
    • 提供者:吴丹
  1. 16QAM

    1下载:
  2. 基于FPGA 16QAM解调verilog代码,-16QAMdemoluator veriliog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:756126
    • 提供者:yangwei
  1. 16QAM

    2下载:
  2. 16QAM调制与解调的Verilog语言的功能实现-the realization of 16QAM modulation and demodulation on Verilog language
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-07
    • 文件大小:311091
    • 提供者:由佳彬
  1. QAM

    1下载:
  2. 16qam调制器的FPGA实现。使用Verilog实现全数字16-QAM调制器。-16qam Modulator FPGA. Use Verilog for full digital 16-QAM modulator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-13
    • 文件大小:2075
    • 提供者:张维
  1. 16qam——modulation

    1下载:
  2. verilog编写的16qam调制程序,将所有东西装入工程,运行mmm16主程序。其中载波为一个周期采十个点,并乘以2^8-1取整数。在quartusII运行通过。-verilog modulation procedures 16qam prepared all things into works mmm16 to run the main program. One carrier for a cycle of 10 points taken, and multiplied by an inte
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5018
    • 提供者:王力宏
  1. verilog_16QAM

    2下载:
  2. 使用verilog实现全数字16QAM调制器,载波频率1MHZ,数据比特流的速率为100Kbps,-the modulation of 16QAM based on FPGA
  3. 所属分类:通讯/手机编程

    • 发布日期:2014-01-05
    • 文件大小:2056
    • 提供者:杨明
  1. QAM16_Demapping

    0下载:
  2. 用VERILOG写的解16qam程序。本来是针对OFDM设计的,有一定参考价值。-Solutions 16qam with VERILOG written procedures. Was originally designed for OFDM has some reference value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:153089
    • 提供者:米多
  1. 16QAM

    0下载:
  2. This Verilog HDL file for 16 QAM mapper-This is Verilog HDL file for 16 QAM mapper
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:758
    • 提供者:Abdur Rahim
  1. verilog

    0下载:
  2. 用verilog编写的代码,实现了16QAM调制解调功能-the realization of 16QAM modulation and demodulation on Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:8947
    • 提供者:焦栋
  1. 16QAM

    0下载:
  2. 详细介绍了16QAM的fpga实现过程,并通过verilog语言编程,可以得到比较好的效果-Details the the the 16QAM fpga implementation process, and can get better results through the verilog language programming,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5389820
    • 提供者:焦栋
  1. 16QAM

    1下载:
  2. 利用VERILOG语言编写的利用查找表进行16QAM调制源代码-Using a Lookup Table the 16QAM modulation source code using Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:4198
    • 提供者:
  1. 16QAM

    1下载:
  2. 使用verilog编写的16QAM调制解调代码,可用于quartus和ISE,因为不包含FIR,只能用于仿真,不能用于实际通信-Verilog prepared using 16QAM modulation and demodulation code can be used quartus and ISE, because they do not contain FIR, only for simulation and not for actual communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:5359
    • 提供者:nike
  1. 16QAM-modulation-based-on-FPGA

    1下载:
  2. 基于FPGA的16QAM调制程序,基于verilog开发环境-16QAM modulation program based on FPGA-based development environment verilog
  3. 所属分类:assembly language

    • 发布日期:2017-04-17
    • 文件大小:70644
    • 提供者:赵国强
  1. qam

    0下载:
  2. 16-QAM调制 Verilog code -16QAM Verilog code
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:823
    • 提供者:董永
  1. demapperSharp1(16QAM)

    0下载:
  2. This the code for the demapper in the verilog code.-This is the code for the demapper in the verilog code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:777
    • 提供者:rion
  1. mapperSharp1(16QAM)

    0下载:
  2. This the code for the mapper in the verilog code.-This is the code for the mapper in the verilog code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:697
    • 提供者:rion
  1. 16QAM

    0下载:
  2. 可以实现随机序列和16QAM的仿真,verilog语言编程,modelsim和QUARTUS联合仿真(It can realize the simulation of random sequence and 16QAM, Verilog language programming, Modelsim and QUARTUS co simulation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:5567488
    • 提供者:vincent-7
« 12 »
搜珍网 www.dssz.com