CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 32位有符号乘法器

搜索资源列表

  1. mul32

    0下载:
  2. 32位无符号乘法器 采用VHDL语言编写,很容易改为有符号32位乘法器-32-bit unsigned multiplier using VHDL language, it is easy to signed 32-bit multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:634
    • 提供者:xilei
  1. DIV

    0下载:
  2. 将两个32 有符号数相除,得到一个32 位商和余数,其中余数符号与被除数符号相同。(Two 32 Division has a number of symbols, get a 32 bit quotient and remainder, the remainder with the same divisor symbol symbol.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:SunFlowers_chao
  1. MULT

    0下载:
  2. 将两个 32 有符号数相乘,得到 一个 64 位带符号数(By multiplying two 32 signed numbers, we get a 64 bit signed number.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:SunFlowers_chao
搜珍网 www.dssz.com