CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 4位 乘法器

搜索资源列表

  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. 4mult

    0下载:
  2. 可用的4位乘法器,用VHDL在FPGA中实现-available four multipliers, FPGA VHDL in achieving
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:1068
    • 提供者:江良伟
  1. changyongdevhdl

    0下载:
  2. 4位乘法器,4位除法器 8位数据锁存器,8位相等比较器,带同步复位的状态 机,元件例化与层次设计,最高优先级编码器-four multipliers, dividers four eight data latches, and eight other phase comparators, synchronous reset with the state machine, the component level with the cases of design, the highest
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:11097
    • 提供者:刘思雄
  1. VHDLshili

    0下载:
  2. 本压缩包里含有一4位乘法器及PDF书记一本,其中PDF书记钟含有百例各种VHDL实例
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:2984501
    • 提供者:Eric
  1. fpga___基于LPM_ROM的4位乘法器设计

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2011-07-05
    • 文件大小:142336
    • 提供者:dy16300
  1. mux4

    0下载:
  2. 四位乘法器的VHDL语言设计,并有原理图的描述-4 Multiplier VHDL language design, and schematic descr iption of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:204235
    • 提供者:望天
  1. 0

    0下载:
  2. 用vhdl语言实现4位乘法器,已被测试过,可参考使用-Vhdl language with four multipliers, have been tested, may refer to the use of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:853
    • 提供者:lz
  1. 4multiplier

    0下载:
  2. 4位乘法器vhdl程序-- DEscr iptION : Signed mulitplier:-- A (A) input width : 4-- B (B) input width : 4-- Q (data_out) output width : 7-4 multiplier vhdl procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3123
    • 提供者:lsp
  1. multiplier8x8

    1下载:
  2. 8位定点乘法器,支持有符号数/无符号数运算。采用4-2压缩树结构,并提供testbench。-It is an 8-bit fixed-point multiplier, supporting signed/unsigned operations. Wallance tree structure with 4-2 compression. Provides testbench.
  3. 所属分类:其他小程序

    • 发布日期:2013-05-20
    • 文件大小:2022
    • 提供者:superbear
  1. Crossover

    0下载:
  2. 分频器的设计,包含普通分频器和占空比为50 的奇数分频 ;4位乘法器的VHDL程序;-Crossover design, including general divider and the duty cycle of 50 of the odd frequency 4-bit multiplier VHDL procedures
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:8329
    • 提供者:倪明
  1. bit4_mul

    0下载:
  2. vhdl写的程序,并行4位乘法器 加快流据传递,提高算法效率-bit4_mul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:180677
    • 提供者:kueuey
  1. BBooth

    0下载:
  2. 基verilog 布斯乘法器 4位位宽,本人不才,仅做参考-Booth multiplier based verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:702
    • 提供者:刘安
  1. 4X

    0下载:
  2. VHDL实现的4位乘法器,绝对好用,libero8.5仿真没问题!-VHDL implementation of the 4-bit multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:930
    • 提供者:funny
  1. vhdl

    0下载:
  2. 4位乘法器 vhdl library IEEE use IEEE.std_logic_1164.all entity one_bit_adder is port ( A: in STD_LOGIC B: in STD_LOGIC C_in: in STD_LOGIC S: out STD_LOGIC C_out: out STD_LOGIC ) end one_bit_adder -4-bit multipl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:891
    • 提供者:陈强
  1. chengxu

    0下载:
  2. 4位乘法器,4位除法器,K倍频的VHDL实现-Four multipliers, four dividers, K multiplier of VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2268
    • 提供者:郭慧
  1. verilog5

    0下载:
  2. 用verilog语言编写的4位乘法器程序。通过循环移位进行4位二进制数的乘法运算。压缩包内也包含此4位乘法器程序的modelsim仿真文件。-Verilog language with 4-bit multiplier process. By cyclic shift for 4-bit binary number multiplication. This compressed package also contains four multipliers modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:217427
    • 提供者:广子
  1. MULTI4BIT

    0下载:
  2. 4位乘法器由于所使用的软件是ISE,没有LPM_ROM可以直接调用,所以此设计直接调用的乘法器的IP核来完成此功能,达到同样的效果。-Four multiplier
  3. 所属分类:MiddleWare

    • 发布日期:2017-11-25
    • 文件大小:66187
    • 提供者:李丽
  1. 16-bit-parallel-mult

    0下载:
  2. 16位并行乘法器, 由四个4位乘法器组成-16-bit parallel multiplier, consisting of four four multipliers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:2621753
    • 提供者:马原
  1. project

    0下载:
  2. hspice编写的4位乘法器,运用了wallace-tree的方法-hspice muler
  3. 所属分类:MPI

    • 发布日期:2017-04-11
    • 文件大小:1530
    • 提供者:杨埔
  1. MUL

    0下载:
  2. 4位乘法器用来监测心跳到,与计数器搭配使用-this is 4 multiply to get heart beats
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2512
    • 提供者:硕格格面包
« 12 3 »
搜珍网 www.dssz.com