CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 7-segment

搜索资源列表

  1. part2

    0下载:
  2. Implement a 3-digit BCD counter. Display the contents of the counter on the 7-segment displays, HEX2− 0. Derive a control signal, from the 50-MHz clock signal provided on the DE2 board, to increment the contents of the counter at one-se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:553387
    • 提供者:echo
  1. MAX7219

    1下载:
  2. MAX7219的驱动程序,基于51的,C语言描述,附带DS18B20的驱动程序,体现MAX7219的用途,带PROTUES 仿真。(MAX7219,7段数码管驱动IC,LED驱动IC,美信公司产品)【原创】-MAX7219 driver, based on the 51, C language descr iption of the driver DS18B20 incidental, reflecting the use of MAX7219 with PROTUES simulation.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:64144
    • 提供者:王浩然
  1. 7-segment

    0下载:
  2. VHDL Design of BCD to 7-segment decoder using PROM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:60544
    • 提供者:FATIMA
  1. rafal2

    0下载:
  2. VHDL project for FPGA SPartan 3 using IseWebpack 10.1. This is an implemetation of FSM for testing 7 segment with dot point 4 digit LED display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:941272
    • 提供者:nukom
  1. LABORS_4

    0下载:
  2. Developed for use with MSP430x22x4 MCU (2274). Made with Code Composer Essentials v3.1. Code for educational use. Working with 7-segment LCD on educational board. MSP430FG464 MCU used.Shows how to define segments and put out information on display
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:20559
    • 提供者:ibanez2006
  1. segment

    0下载:
  2. 7 segment display using verilog interfacing fpga and 7 segment display
  3. 所属分类:Project Manage

    • 发布日期:2017-03-23
    • 文件大小:311334
    • 提供者:kripa
  1. 7_segment

    0下载:
  2. Code for 7-segment diplay
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:146137
    • 提供者:Raisul
  1. 7segmentwithoutmultiplex

    0下载:
  2. 7 segment on atmel 89c51 without multiplex
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:53236
    • 提供者:irfan
  1. Clock

    0下载:
  2. --1.实体和函数的定义 --2.自动计时部分 --3.设置调时时的四种状态:a.不调时,b.调时位,c.调分位,d.调秒位 --4.设置闪烁的位置,调哪部分,哪部分闪烁 --5.将该闪烁的部分执行闪烁命令 --6.调时间,小时,分钟,秒的调时进程 --7.用元件BCD把小时位,分钟位和秒位三部分连接在一起 --8.设置时区***该部分为选做,程序中已经注释掉*** --9.设置闹铃***该部分为选做,程序中暂时没写*** --10.设置7段数码管的显示位
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:3718
    • 提供者:wvqyd
  1. paobiao

    0下载:
  2. 基于Verilog HDL的完整数字跑表工程,在试验机台上运行验证通过了的。 用8位7段数码管分别显示微妙,秒,分。 有开始,暂停,复位功能。 学习VerilogHDL的经典例子,添加了显示功能。-Complete Verilog HDL-based digital stopwatch works in the test machine is running verify pass the platform. With 8-bit 7-segment digital tube sho
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:571378
    • 提供者:alvin
  1. 7SegmentDigitalClock

    0下载:
  2. LABVIEW开发的。分7段的LEN时钟显示,希望对你有帮助.-this is labview 7 Segment Digital Clock.
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:117987
    • 提供者:liyan
  1. 351_3

    0下载:
  2. 7 segment display for spartan3 vhdl code
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:59920
    • 提供者:yousif
  1. 7-segment

    0下载:
  2. 一个7段的显示器,及按一定顺序循环得到的结果,可重复使用-A 7-segment display, and by a certain sequence and cycle the results obtained can be reused
  3. 所属分类:matlab

    • 发布日期:2017-04-16
    • 文件大小:312377
    • 提供者:xiaoyu
  1. 8051_7seg.c

    0下载:
  2. 8051 to display numbers on 7 segment LEDs.
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1113
    • 提供者:jp
  1. Seven-segment-display-decoder

    0下载:
  2. 七段显示译码器 因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”。 -Seven-segment display decoder because the computer output is BCD code, in order to display in the digital tube decimal numbe
  3. 所属分类:Compiler program

    • 发布日期:2017-03-22
    • 文件大小:3148
    • 提供者:jlz
  1. DS1337

    0下载:
  2. 基于AVR芯片ATmega1280的DS1337的驱动程序,使用7段数码管显示;有DS1337的接线原理图-ATmega1280 AVR chip based on the DS1337 driver, 7-segment LED display have the wiring diagram DS1337
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:13944
    • 提供者:翟文光
  1. 7-segment

    0下载:
  2. In this project two 7-segment LED displays are connected to PORT of a PIC16F877 type microcontroller. The program displays the number in variable on the displays-In this project two 7-segment LED displays are connected to PORT of a PIC16F877 type m
  3. 所属分类:SCM

    • 发布日期:2017-04-23
    • 文件大小:55316
    • 提供者:dolly chan
  1. 7 segment

    0下载:
  2. Example 7 segment in CCS PIC C
  3. 所属分类:其它程序

  1. 7 segment with pic

    0下载:
  2. 7 segment with pic microcontroller
  3. 所属分类:其他

    • 发布日期:2017-12-28
    • 文件大小:223232
    • 提供者:rofi
  1. 7 Segment

    0下载:
  2. 7 segment interface with ATmega16 with 4 segments
  3. 所属分类:其他

    • 发布日期:2018-04-18
    • 文件大小:18432
    • 提供者:Harry007
« 12 3 4 5 6 7 8 9 10 ... 32 »
搜珍网 www.dssz.com