CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ADC in VHDL

搜索资源列表

  1. xapp355

    0下载:
  2. Serial ADC Interface write in VHDL based on xilinx cpld
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:34080
    • 提供者:jiang
  1. CORE8051_ADC_OK_328

    1下载:
  2. 这是一个在Fusion系列的AFS600的FPGA,在里面嵌入51核和12位adc模块,可以在lcd12864上显示,能正常转换电压。做adc使用。-This is a AFS600 at the Fusion series FPGA, embedded in which 51 nuclear and 12-bit adc module, you can show up at lcd12864 to the normal voltage conversion. Does the use of a
  3. 所属分类:Other systems

    • 发布日期:2014-05-17
    • 文件大小:15280431
    • 提供者:冰鸟
  1. ADC0809

    0下载:
  2. 模数转换器件ADC0809的详细中文资料,附VHDL语言编写的基于FPGA的ADC0809控制设计代码-ADC0809 ADC detailed pieces of information in Chinese, with VHDL language ADC0809 based control design of the FPGA code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:128857
    • 提供者:zll
  1. adc

    2下载:
  2. vhdl实现对模数转换芯片adc0832的控制,程序采用的是状态编码输出.-VHDL realization of analog-digital conversion chip adc0832 control, procedures using state of the output encoding.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-01-22
    • 文件大小:25334
    • 提供者:liaocongliang
  1. ADC_INTERFACE

    0下载:
  2. it is a verilog code written for MAX1886 ADC interin modelsim simulator and it will synthesize in xinlix ise 8.2i.i have tested it om my kit. -it is a verilog code written for MAX1886 ADC interin modelsim simulator and it will synthesize in xinlix i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6857
    • 提供者:yasir ateeq
  1. adc7656

    0下载:
  2. This file is used in ADC 7656 interface.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-11
    • 文件大小:966
    • 提供者:Gamaliel Moses
  1. vhdlcode

    0下载:
  2. VHDL code in ISE (for collecting the ADC samples from kit and for viewing final output)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1153
    • 提供者:venkata
  1. adc2

    0下载:
  2. ADC control in VHDL language. Spartan 3E starter pack ISE 10.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:203525
    • 提供者:khoosram
  1. memtest

    0下载:
  2. 在数字系统中,一般存在多个芯片,利用不同的特点用于实现不同的功能,一般都包含CPU,FPGA,AD,DA,memory,ASSP(专用标准模块),ASIC等。CPU用于进行智能控制,FPGA进行硬件算法处理和多设备接口,AD进行模数转换,DA进行数模转换,memory存储临时数据。因此,FPGA如何与其他芯片进行通讯是重要的设计内容。数据输入,数据输出,双向通讯,指令传递,地址管理,不同时钟的异步通讯问题等等都需要处理。最基本的MEMORY如SRAM(128KX8bbit静态存储器628128)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:223409
    • 提供者:平凡
  1. simple_spi

    0下载:
  2. complete spi core written in vhdl. its easy to use and can be configured to operate at various clock frequencies. tested on an ADC to verify the operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:584342
    • 提供者:Shahzad
  1. AD1674

    0下载:
  2. This is an interface in HDL for the AD1674 ADC converter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2366
    • 提供者:Christian
  1. adc

    0下载:
  2. adc code in vhdl. by suman, praveen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:783
    • 提供者:suman
  1. decimator

    0下载:
  2. Digital filter in delta-sigma ADC. But only work for RTL code now. Still have bugs in gate-level simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1067
    • 提供者:DrCheese
  1. adfmreceiver

    0下载:
  2. The design of the All Digital FM Receiver circuit in this project uses Phase Locked Loop (PLL) as the main core. The task of the PLL is to maintain coherence between the input (modulated) signal frequency,iωand the respective output frequency,oωvia p
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:658029
    • 提供者:vijay
  1. State_Machine

    0下载:
  2. 状态机的VHDL实现,在quartus-ii7.2上测试通过,文件包括米利状态机,摩尔状态机,ADC0809的状态机实现,序列检测器和定时去毛刺的状态机实现。-State machine code in VHDL,successfully tested in quartus-ii7.2,the file contains mealy state machine,moore state machine,ADC 0809 and sequence detector achieved in state
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1551028
    • 提供者:baoguocheng
  1. ADC

    0下载:
  2. analog to digital converson programmed in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:474718
    • 提供者:JT_LADINO
  1. SAR-ADC

    0下载:
  2. 这是一个用于实现逐次逼近型ADC的控制程序,用状态机实现的,用的VHDL语言。在实际项目中测试过-This is a successive approximation type ADC control program, written using the state machine tested in the actual project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:351747
    • 提供者:jbb
  1. adc

    0下载:
  2. 很好的fpgaADC资料,用VHDL编写。可以测量波形的峰峰值及频率,并在数码管上显示-Good fpgaADC information with VHDL. Can measure the waveform peak to peak, and frequency, and in the digital display
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:469780
    • 提供者:黄锦辉
  1. 1324-f4rt

    0下载:
  2. The VHDL code presented in this model will enable you to see how to create behavioural ADC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:856
    • 提供者:jsoe
  1. ADC-ADS7230

    0下载:
  2. ADC ADS7230 - ADC module in VHDL for ADS 7230 (Texas Instruments)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1895
    • 提供者:Alex
« 12 »
搜珍网 www.dssz.com