CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ADC0809

搜索资源列表

  1. adc0809

    0下载:
  2. AD0809与51单片机的接口及其程序设计-And 51 single-chip AD0809 and its programming interface
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:2688
    • 提供者:hanlei
  1. adc0809

    2下载:
  2. 里面有keil3写的0809的查询和中断实现 电平转化的程序,精确度0.02,以及用 PROTEUS画的仿真图,可以直接仿真-There are keil3 write the query and the 0809 interrupt level to achieve the transformation process, the accuracy of 0.02, as well as paintings byPROTEUS simulation map, you can dire
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-03-30
    • 文件大小:78702
    • 提供者:丁未
  1. ADC0809

    0下载:
  2. 基于51单片机的DAC0809仿真,可以实现数模转化-51 single-chip microcomputer-based simulation of the DAC0809 can achieve digital-to-analog conversion
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:27456
    • 提供者:陈卓
  1. ADC0809-C

    0下载:
  2. 单片机控制的0809数模转换c语言程序,肯定有用的-0809 single-chip microcomputer controlled digital-to-analog conversion process c language certainly useful
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:16040
    • 提供者:
  1. ADC0809

    0下载:
  2. 基于ADC0809转换并将转换结果通过232传给计算机-ADC0809 based on the results of conversion will be converted to the computer through the 232
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1307
    • 提供者:火灵翼
  1. ADC0809

    0下载:
  2. (1). 进行A/D转换时,采用查询EOC的标志信号来检测A/D转换是否完毕,若完毕则把数据通过P0端口读入,经过数据处理之后在数码管上显示。-For A/D conversion using query EOC signal to detect signs of the A/D conversion is completed, if completed through the P0 port while the data read through data processing in the
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:23027
    • 提供者:sanmu
  1. adc0809

    0下载:
  2. the process of a chip adc0809
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:41666
    • 提供者:longfen
  1. ADC0809VHDL

    0下载:
  2. 8.4 ADC0809 VHDL控制程序 见随书所附光盘中文件:ADC0809VHDL程序与仿真。 --文件名:ADC0809.vhd --功能:基于VHDL语言,实现对ADC0809简单控制 --说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系 --统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。 --最后修改日期:2004.3.20 -8.4 ADC0809 VHDL con
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:3915
    • 提供者:wangnan
  1. DAAD

    0下载:
  2. 用51单片机控制AD:TLC2543,ADC0809,DAC0832,实现AD和DA转换。-51 single-chip control with AD: TLC2543, ADC0809, DAC0832, the realization of AD and DA conversion.
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:1963
    • 提供者:trf
  1. URAT_VHDL

    0下载:
  2. FPGA采用模块工程文件QUARTUS II工程、ADC0809、电机控制PWM、LCD12864显示控制、UART_VHDL-FPGA module QUARTUS II project engineering documents, ADC0809, motor control PWM, LCD12864 display control, UART_VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:238303
    • 提供者:wangzhaohui
  1. ADC0809_VHDL_QUAARTUSII_PROJECT

    0下载:
  2. FPGA模块工程、ADC0809状态机控制ADC0809_VHDL_QUAARTUSII_PROJECT可以直接使用!-FPGA module works, ADC0809 control state machine can be used directly ADC0809_VHDL_QUAARTUSII_PROJECT!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:102040
    • 提供者:wangzhaohui
  1. ADC0809

    0下载:
  2. 好的档案,好的东西,一定是可以的,札 ,ADC0809设计的电压表-又ccccc
  3. 所属分类:Document

    • 发布日期:2017-04-03
    • 文件大小:21725
    • 提供者:王军
  1. ADC0809

    0下载:
  2. 基于ADC0809的模拟电压采样测量方法,四位数码管显示。-ADC0809 based on sampling the analog voltage measurement method, the four digital tube display.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:365845
    • 提供者:丛梦龙
  1. adc0809dac0832control

    0下载:
  2. adc0809和dac的共同使用(verilog代码),虽然功能简单,但内容全面,新手原创,共同学习-adc0809 and dac common use (verilog code), although the function of simple, but comprehensive, new original, the common learning
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:5952
    • 提供者:山哥
  1. Text2

    0下载:
  2. ADC0809用1602显示的数字电压表-ADC0809 with 1602 display digital voltmeter
  3. 所属分类:Software Testing

    • 发布日期:2017-03-31
    • 文件大小:694
    • 提供者:张小明
  1. adc0809

    0下载:
  2. 单片机的adc0809的使用 符有仿真程序和源程序代码-SCM adc0809 the use of characters with simulation program and source code
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:43085
    • 提供者:seven
  1. ADC0809VHDL

    0下载:
  2. ADC0809的vhdl控制程序 有波形仿真 quartus2-ADC0809 control procedures of vhdl simulation of a wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:3932
    • 提供者:Sjn
  1. ADC0809

    0下载:
  2. 采用C51结合模数转换器实现多路数据采集系统-C51 combined with multi-channel ADC Data Acquisition System
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:128147
    • 提供者:liting
  1. 51_ADC0809_I2C

    0下载:
  2. 51单片机,ADC0809实现键盘+可调时钟+计算器+I2C闹钟功能的程序-51 SCM, ADC0809 to achieve adjustable keyboard+ Calculator+ I2C clock+ alarm program
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:6177
    • 提供者:万欣
  1. AD0809

    0下载:
  2. ADC0809的应用,非常适合初学者,还有PROTUES仿真-ADC0809 applications, ideal for beginners, as well as simulation PROTUES
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:77495
    • 提供者:汪根进
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 45 »
搜珍网 www.dssz.com