CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ALU bit

搜索资源列表

  1. 三种16位整数运算器的ALU设计方法

    2下载:
  2. 三种16位整数运算器的ALU设计方法,调用库函数74181(4位ALU),组成串行16位运算器。(用74181的正逻辑) B.调用库函数74181和74182,组成提前进位16位运算器。(用74181的正逻辑) 注意:调74181库设计,加进位是“0”有效,减借位是“1”有效,所以最高位进位或借位标志寄存器要统一调整到高有效 C.用always @,case方式描述16位运算器。,Three 16-bit integer arithmetic logic unit of the ALU
  3. 所属分类:VHDL编程

    • 发布日期:2013-01-14
    • 文件大小:800
    • 提供者:yifang
  1. ARM32ALU

    0下载:
  2. VHDL ARM 32位ALU的设计,基于Quaryus II平台-VHDL ARM 32 位 ALU design platform based on Quaryus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:287800
    • 提供者:逆天之刃
  1. alu

    0下载:
  2. 这是32位alu的代码,使用verilog写的,包含了简单的运算功能-This is a 32-bit alu code, use verilog to write, and includes a simple arithmetic functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1030
    • 提供者:杨恋
  1. 4bitALU

    0下载:
  2. 4 bit ALU 设计功能仿真和门级仿真结果 -4 bit ALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:104156
    • 提供者:吴涵
  1. 16bitalu

    0下载:
  2. 16 bit alu using the vhdl it has 16 function perform by control unit with 4 control signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1002193
    • 提供者:jai
  1. 8-bit-alu

    0下载:
  2. this is an 8 bit alu. to perform various arithmetic and logical operations
  3. 所属分类:Project Design

    • 发布日期:2017-04-12
    • 文件大小:655
    • 提供者:khan
  1. ALU-FP

    0下载:
  2. ALU floating point 8 bit
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-26
    • 文件大小:781035
    • 提供者:nicola
  1. traffic_lights

    1下载:
  2. Verilog语言3个程序,包括4位二进制的BCD码加法器,ALU位片,交通信号灯。既有源码也有word文档说明。-Verilog language three procedures, including 4-bit binary code of the BCD adder, ALU-bit chip, traffic lights. Only source documents that have word.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1596670
    • 提供者:郭函
  1. ALU

    0下载:
  2. Write an 8085 ALP to design a 4-bit ALU. The ALU should be able to perform addition, subtraction, AND operation, OR operation on 4-bit inputs based on the desired operation
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:752
    • 提供者:debojit
  1. Alu-4bit

    0下载:
  2. alu 4 bit with verilog in modelsim and work correct
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:41826
    • 提供者:sara
  1. 8-alu

    0下载:
  2. 8-bit alu design...it has arithematic and shift operation-8-bit alu design...it has arithematic and shift operation....
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1675
    • 提供者:awais
  1. ALU

    0下载:
  2. 这个是我的数字电路设计报告,利用了vhdl语言制作了一个n位的可配置alu器件,实现了一些基本的功能,附有完整的报告及代码,我没有对我的信息进行删除,是希望大家能够诚实的利用这个代码,提高自身本领。-This is my digital circuit design report, using the vhdl language produced an n-bit alu device can be configured to achieve some basic functions, with
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1208052
    • 提供者:de de
  1. ALU

    0下载:
  2. a simple 4 bit alu in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:612557
    • 提供者:priya
  1. ALU

    0下载:
  2. this is a 4 bit alu design-this is a 4 bit alu design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:439295
    • 提供者:waqas
  1. alu[1].eg1

    0下载:
  2. A 32-Bit ALU Design Example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:45746
    • 提供者:vinoth
  1. alu

    0下载:
  2. This 8 bit unsigned arithematic logical unit(ALU). This code is developed in VHDL language and compatible with any VHDL softeware like xilinx,quartus. This ALU performs addition,subtraction,multiplication,and,or,and not and pass input functions.-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:94522
    • 提供者:chunduru
  1. ALU

    0下载:
  2. verilog硬件仿真,实现32-bit RISC微处理器的算数逻辑单仿真元(ALU),实现加减运算、逻辑运算、移位运算。仿真级别为RTL级。-verilog hardware simulation, to achieve 32-bit RISC microprocessor arithmetic logic one simulation element (ALU), to achieve addition and subtraction operations, logic operations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2912
    • 提供者:
  1. alu

    0下载:
  2. 一个简单的四位alu,用max+plusII运行-a simple 4bit alu by vhdl.You can use max+plusII to text it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:577
    • 提供者:刘一正
  1. alu

    0下载:
  2. the 8 bit alu by verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:91226
    • 提供者:pedram
  1. alu

    1下载:
  2. 实现了四位快速加法器,并在此基础上实现了16位和32为快速加法器(Based on the implementation of four bit fast adder, 16 bit and 32-bit fast adders are realized)
  3. 所属分类:GIS编程

    • 发布日期:2020-07-11
    • 文件大小:35840
    • 提供者:啊谬
« 12 3 4 5 6 »
搜珍网 www.dssz.com